AVT5288 - Zestaw uruchomieniowy dla układów FPGA Spartan 3AN50.pdf

(937 KB) Pobierz
024-028_stk-fpga.indd
PROJEKTY
Zestaw uruchomieniowy
Spartan 3AN50
Dodatkowe materiały
na CD/FTP
AVT-5288 w ofercie AVT:
AVT-5288A – płytka drukowana
Podstawowe informacje:
•FPGA Xilinx Spartan3AN50 (50 tys. bramek
przeliczeniowych), z  wbudowaną pamięcią
kon guracji,
Peryferia wejściowe:
•dwa enkodery obrotowe z  przyciskiem wraz
z  układem polaryzacji,
•dwa nastawniki binarne 0h/Fh z  układem
polaryzacji,
•odbiornik podczerwieni RC5,
Peryferia wyjściowe:
• wyświetlacz LCD 2×16 znaków zgodny
z  HD44780
•cztery diody LED,
• wyjście VGA, z  przetwornikiem DA 2+2+2
bity na drabince rezystorowej,
Peryferia analogowe:
•przetwornik A/C 12-bit, z  interfejsem I 2 C,
• przetwornik C/A  12-bit, z  interfejsem I 2 C,
•źródło napięcia odniesienia 4,096  V dla
przetworników
Ponadto:
• zasilacz +1,2  V (dla rdzeni 3AN50)
• zasilacz +3,3  V dla zasilania buforów
I/O  i  peryferii zestawu,
•oscylator kwarcowy DIP8
•przestrajany generator przebiegu
prostokątnego LTC1799, 1  kHz...20  MHz
• „pełny” port szeregowy RS232, zrealizowany
w  oparciu o  konwerter USB/RS232 FTDI232RL,
•dwukierunkowy konwerter poziomów
3,3  V/5  V dla peryferii starszego typu
zgodnych ze  standardem 5  V,
•64 K pamięć EEPROM I 2 C,
• złącze karty pamięci SD,
• wyłącznik zasilania z  sygnalizacją obecności
zasilania,
• złącza rozszerzeń 2×8 bit, do wyprowadzenia
sygnałów z  płytki,
• złącze rozszerzeń dla modułów Digilent 6/12
pinów.
AVT
5288
Programowanie układów FPGA to temat, który budzi zainteresowanie
wielu osób, jednak w  jego nauce często przeszkadzają wysokie
ceny fabrycznych zestawów ewaluacyjnych. Dlatego też po projekcie
zestawu ewaluacyjnego dla układów Cool Runner prezentujemy
zestaw dla układów Spartan 3AN50 rmy Xilinx. Jego samodzielne
zbudowanie jest alternatywą dla zakupu kosztownych zestawów
fabrycznych.
Rekomendacje: zestaw przyda się do nauki programowania
w  domu i  w  pracowni uczelni technicznej.
Dodatkowe materiały na CD/FTP:
ftp://ep.com.pl , user: 14039 , pass: 4p80b5b5
• wzory płytek PCB
•karty katalogowe i  noty aplikacyjne
elementów oznaczonych w  Wykazie
elementów kolorem czerwonym
Po zapoznaniu się z układami PLD (ze-
staw CoolPCB z EP11/2010), układy FPGA są
kolejnym krokiem w nauce programowania.
FPGA mają znacznie większe zasoby sprzę-
towe umożliwiające realizację nawet bardzo
złożonych układów cyfrowych. Podobnie
jednak jak w przypadku CPLD, zestawy star-
towe odstraszają cenami zakupu. Na rysun-
ku   1 pokazano schemat blokowy opisywa-
nego zestawu ewaluacyjnego. Jest on oparty
o nowoczesny układ programowalny FPGA
rmy Xilinx z  rozszerzonej rodziny Spar-
tan3 – 3AN50. Jest najmniejszy z tej rodziny
układów, a jego największą zaletą jest wbu-
dowana pamięć kon guracji. Oprócz tego do
użycia w zestawie zachęciły mnie: możliwa
do przylutowania w warunkach domowych
obudowa TQFP144 z wyprowadzeniami roz-
mieszczonymi w rastrze 0,5 mm, niewielki
pobór mocy, prosty układ zasilania (wymaga
tylko dwóch napięć 3,3 V i 1,2 V) oraz do-
stępność układów.
Projekty pokrewne na CD/FTP:
(wymienione artykuły są w  całości dostępne na CD)
AVT-5275 ZEPIC – Zestaw ewaluacyjny dla
mikrokontrolerów PIC (EP 2/2011)
AVT-5272 Avtduino (EP 1/2011)
AVT-2975 STM32 DSP KIT (EdW 1/2011)
AVT-5263 CoolPCB – Zestaw uruchomieniowy
CPLD (EP 11/2010)
AVT-2875 LogicMaster – płytka prototypowa
dla CPLD (EdW 8/2008)
AVT-939 Zestaw startowy dla mikrokontekstów
ST7FLITE2x (EP 7–8/2006)
AVT-926 Zestaw startowy dla PsoC
(EP 4/2006)
AVT-920 Zestaw startowy z  MSP430F413
(EP 2–3/2006)
AVT-3505 Płytka testowa do kursu C
(EdW 1/2006)
AVT-3500 Płytka testowa do kursu BASCOM
AVR (EdW 10/2002)
AVT-992 Zestaw uruchomieniowy dla AVR
i  51 (EP 1–2/2001)
AVT-2500 Płytka testowa do kursu BASCOM
8051 (EdW 3/2000)
AVT-2250 Mikrokomputer edukacyjny z  8051
(EdW 8/1997)
eMeSPek Komputerek z  mikrokontrolerem
Opis układu
Schemat ideowy zestawu pokazano na
rysunku   2 . Jak wspomniano, sercem zesta-
wu jest układ programowalny FPGA Spar-
tan 3AN50 (U1). Wyprowadzenia I/O ukła-
du są połączone ze złączami szpilkowymi
umożliwiającymi podłączenie sygnałów
zewnętrznych lub peryferiami układu uru-
chomieniowego. Etykiety wyprowadzeń złą-
czy odpowiadają zycznym numerom wy-
prowadzeń U1, co ułatwia połączenia, gdyż
opis złączy jest zgodny z opisem pliku *.ucf
de niującym przyporządkowanie wyprowa-
MSP430F1232 (EP 4/2008)
24
ELEKTRONIKA PRAKTYCZNA 4/2011
dla układów FPGA
741719591.458.png 741719591.566.png 741719591.648.png 741719591.654.png 741719591.001.png 741719591.007.png 741719591.022.png 741719591.043.png 741719591.063.png 741719591.084.png 741719591.105.png 741719591.126.png 741719591.142.png 741719591.153.png 741719591.168.png 741719591.189.png 741719591.210.png 741719591.231.png 741719591.252.png 741719591.273.png 741719591.294.png 741719591.315.png 741719591.329.png 741719591.340.png 741719591.357.png 741719591.378.png 741719591.399.png 741719591.410.png 741719591.421.png 741719591.432.png 741719591.440.png 741719591.446.png 741719591.452.png 741719591.459.png 741719591.467.png 741719591.478.png 741719591.489.png 741719591.500.png 741719591.511.png 741719591.522.png 741719591.533.png 741719591.544.png 741719591.555.png 741719591.567.png 741719591.578.png 741719591.589.png 741719591.600.png
Zestaw uruchomieniowy dla układów FPGA Spartan 3AN50
Rysunek 1. Schemat blokowy zestawu uruchomieniowego
dzeń układu do realizowanej funkcji logicz-
nej. W przypadku sygnałów o „określonej”
funkcji, etykiety odpowiadają skróconym
nazwom sygnałów sterujących.
Ze względu na konieczność współpracy
z  komputerem PC (oprogramowanie Web
Pack), jako podstawowe źródło zasilania
przewidziano port USB. Zasilanie poprzez
kabel MiniUSB doprowadzone jest do gniaz-
da PWR1, stąd poprzez wyłącznik SW1 do
układów UP1, UP2 wytwarzających napięcia
zasilania zestawu. Układ U1 wymaga zasila-
nia dwoma napięciami: 1,2 V (VCCINT) to
zasilanie wewnętrznej programowalnej logi-
ki układu oraz 3,3 V (VCCOx, VAUX) służące
do zasilania bloków interfejsowych sterują-
cych wyprowadzeniami I/O U1 oraz peryfe-
rii zestawu. Zasilanie bloków interfejsowych
ustalono kompromisowo na najbardziej uni-
wersalne 3,3 V.
UWAGA: Układy Spartan 3AN50 nie są
zgodne ze standardem 5   V, doprowadzenie
napięcia wyższego niż 3,3   V do wyprowa-
dzeń IO może uszkodzić układ U1.
Napięć zasilających dostarczają ukła-
dy stabilizatorów LDO UP1 typu MCP1700,
dla napięcia 1,2  V, UP2 typu LM1117  dla
3,3  V. Stabilizatory odprzęgnięte są odpo-
wiednio kondensatorami CPxx. Zaletą ukła-
du MCP1700 jest możliwość zastosowania
odprzęgania kondensatorami ceramiczny-
mi o pojemności 1 mF zamiast tantalowych
10 mF, jak to ma miejsce w przypadku serii
LM1117. Dioda LDP sygnalizuje zasilanie
zestawu. Napięcie 3,3 V jest także wykorzy-
stane do zasilania peryferii, w tym celu ra-
zem z potencjałem masy wyprowadzone jest
na złącza B30, B31, JPM. Sumaryczny pobór
mocy ze względu na straty w stabilizatorze
UP2 nie powinien przekraczać 100 mA.
Do programowania układów w systemie
jest używany interfejs JTAG. Sygnały steru-
jące z programatora należy doprowadzić do
złącza JTAG (zasilanie 3,3  V). W  przypad-
ku zastosowania programatorów DIGILENT
JTAG-USB lub JTAG-LPT jest możliwe bez-
pośrednie zainstalowanie ich w  gnieździe
JTAG. W przypadku innych programatorów
jest konieczne wykonanie połączeń z zacho-
waniem zgodności sygnałów sterujących.
Dioda LD sygnalizuje prawidłowo skon-
gurowany/zaprogramowany układ U1.
Przełącznik S0 umożliwia wymuszenie kon-
gurowania układu FPGA.
Enkoder obrotowy i przyciski. Enkoder
jest podstawowym elementem układu inter-
fejsu użytkownika. Zapewnia wygodę i intu-
icyjność sterowania. Coraz częściej zastępuje
tradycyjne klawiatury. Na płytce układu uru-
chomieniowego zastosowano dwa enkodery
ENC1, 2 z serii EC11 z dodatkowym przycis-
kiem. Sygnały wyjściowe A i B enkoderów
doprowadzone są bezpośrednio do wypro-
wadzeń U1 (P75-P80). Enkodery współpra-
cują z obwodami polaryzacji i wstępnej l-
tracji sygnałów wyjściowych RE1-4, CE1-4.
Nastawniki binarne 0-F. Drugim ukła-
dem interfejsu użytkownika są nastawniki
binarne SWHEX1,2. Sygnały z  nastawni-
ków są doprowadzone bezpośrednio do U1
(P42-P49), rezystory RS1...8 zapewniają
poprawną polaryzację. W zależności od po-
trzeb, można zastosować nastawniki w ko-
dzie dziesiętnym lub binarnym zanegowa-
nym. W  modelu wykorzystano nastawniki
w  kodzie binarnym prostym, nastawie 0h
odpowiada stan 0000 na wyjściach bitowych
ważonych –1248.
Odbiornik podczerwieni. W prototypie
zastosowano odbiornik pracujący przy czę-
REKLAMA
ELEKTRONIKA PRAKTYCZNA 4/2011
25
741719591.611.png 741719591.622.png 741719591.633.png 741719591.644.png 741719591.646.png 741719591.647.png 741719591.649.png 741719591.650.png 741719591.651.png 741719591.652.png 741719591.653.png 741719591.655.png 741719591.656.png 741719591.657.png 741719591.658.png 741719591.659.png 741719591.002.png 741719591.003.png 741719591.004.png 741719591.005.png 741719591.006.png 741719591.008.png 741719591.009.png 741719591.010.png 741719591.011.png 741719591.012.png 741719591.013.png 741719591.014.png 741719591.015.png 741719591.016.png 741719591.017.png 741719591.018.png 741719591.019.png 741719591.020.png 741719591.021.png 741719591.023.png 741719591.024.png 741719591.025.png 741719591.026.png 741719591.027.png 741719591.028.png 741719591.029.png 741719591.030.png 741719591.031.png 741719591.032.png 741719591.033.png 741719591.034.png 741719591.035.png 741719591.036.png 741719591.037.png 741719591.038.png 741719591.039.png 741719591.040.png 741719591.041.png 741719591.042.png 741719591.044.png 741719591.045.png 741719591.046.png
 
PROJEKTY
Rysunek 2. Schemat ideowy zestawu FPGA
26
ELEKTRONIKA PRAKTYCZNA 4/2011
741719591.047.png 741719591.048.png 741719591.049.png 741719591.050.png 741719591.051.png 741719591.052.png 741719591.053.png 741719591.054.png 741719591.055.png 741719591.056.png 741719591.057.png 741719591.058.png 741719591.059.png 741719591.060.png 741719591.061.png 741719591.062.png 741719591.064.png 741719591.065.png 741719591.066.png 741719591.067.png 741719591.068.png 741719591.069.png 741719591.070.png 741719591.071.png 741719591.072.png 741719591.073.png 741719591.074.png 741719591.075.png 741719591.076.png 741719591.077.png 741719591.078.png 741719591.079.png 741719591.080.png 741719591.081.png 741719591.082.png 741719591.083.png 741719591.085.png 741719591.086.png 741719591.087.png 741719591.088.png 741719591.089.png 741719591.090.png 741719591.091.png 741719591.092.png 741719591.093.png 741719591.094.png 741719591.095.png 741719591.096.png 741719591.097.png 741719591.098.png 741719591.099.png 741719591.100.png 741719591.101.png 741719591.102.png 741719591.103.png 741719591.104.png 741719591.106.png 741719591.107.png 741719591.108.png 741719591.109.png 741719591.110.png 741719591.111.png 741719591.112.png 741719591.113.png 741719591.114.png 741719591.115.png 741719591.116.png 741719591.117.png 741719591.118.png 741719591.119.png 741719591.120.png 741719591.121.png 741719591.122.png 741719591.123.png 741719591.124.png 741719591.125.png 741719591.127.png 741719591.128.png 741719591.129.png 741719591.130.png 741719591.131.png 741719591.132.png 741719591.133.png 741719591.134.png 741719591.135.png 741719591.136.png 741719591.137.png 741719591.138.png 741719591.139.png 741719591.140.png 741719591.141.png 741719591.143.png 741719591.144.png 741719591.145.png 741719591.146.png 741719591.147.png 741719591.148.png 741719591.149.png 741719591.150.png 741719591.151.png 741719591.152.png 741719591.154.png 741719591.155.png 741719591.156.png 741719591.157.png 741719591.158.png 741719591.159.png 741719591.160.png 741719591.161.png 741719591.162.png 741719591.163.png 741719591.164.png 741719591.165.png 741719591.166.png 741719591.167.png 741719591.169.png 741719591.170.png 741719591.171.png 741719591.172.png 741719591.173.png 741719591.174.png 741719591.175.png 741719591.176.png 741719591.177.png 741719591.178.png 741719591.179.png 741719591.180.png 741719591.181.png 741719591.182.png 741719591.183.png 741719591.184.png 741719591.185.png 741719591.186.png 741719591.187.png 741719591.188.png 741719591.190.png 741719591.191.png 741719591.192.png 741719591.193.png 741719591.194.png 741719591.195.png 741719591.196.png 741719591.197.png 741719591.198.png 741719591.199.png 741719591.200.png 741719591.201.png 741719591.202.png 741719591.203.png 741719591.204.png 741719591.205.png 741719591.206.png 741719591.207.png 741719591.208.png 741719591.209.png 741719591.211.png 741719591.212.png 741719591.213.png 741719591.214.png 741719591.215.png 741719591.216.png 741719591.217.png 741719591.218.png 741719591.219.png 741719591.220.png 741719591.221.png 741719591.222.png 741719591.223.png 741719591.224.png 741719591.225.png 741719591.226.png 741719591.227.png 741719591.228.png 741719591.229.png 741719591.230.png 741719591.232.png 741719591.233.png 741719591.234.png 741719591.235.png 741719591.236.png 741719591.237.png 741719591.238.png 741719591.239.png 741719591.240.png 741719591.241.png 741719591.242.png 741719591.243.png 741719591.244.png 741719591.245.png 741719591.246.png 741719591.247.png 741719591.248.png 741719591.249.png 741719591.250.png 741719591.251.png 741719591.253.png 741719591.254.png 741719591.255.png 741719591.256.png 741719591.257.png 741719591.258.png 741719591.259.png 741719591.260.png 741719591.261.png 741719591.262.png 741719591.263.png 741719591.264.png 741719591.265.png 741719591.266.png 741719591.267.png 741719591.268.png 741719591.269.png 741719591.270.png 741719591.271.png 741719591.272.png 741719591.274.png 741719591.275.png 741719591.276.png 741719591.277.png 741719591.278.png 741719591.279.png 741719591.280.png 741719591.281.png 741719591.282.png 741719591.283.png 741719591.284.png 741719591.285.png 741719591.286.png 741719591.287.png 741719591.288.png 741719591.289.png 741719591.290.png 741719591.291.png 741719591.292.png 741719591.293.png 741719591.295.png 741719591.296.png 741719591.297.png 741719591.298.png 741719591.299.png 741719591.300.png 741719591.301.png 741719591.302.png 741719591.303.png 741719591.304.png 741719591.305.png 741719591.306.png 741719591.307.png 741719591.308.png 741719591.309.png 741719591.310.png 741719591.311.png 741719591.312.png 741719591.313.png 741719591.314.png
Zestaw uruchomieniowy dla układów FPGA Spartan 3AN50
stotliwości 38 kHz i o rozszerzonym zakresie
napięcia zasilania 2,7...5 V typu TSOP31238.
Sygnały wyjściowe odbiornika doprowadzo-
ne są do U1 (P41). Elementy CEI, CI, FBI
zapewniają ltrację zasilania, niezbędną
do poprawnego funkcjonowania odbiorni-
ka IR1. W przypadku kłopotów z zakupem
dławika FBI, można go zastąpić rezystorem
SMD (0805) o rezystancji 47...100 V.
Wyświetlacz LCD 2×16. Podstawowym
elementem „wyjściowym” interfejsu użyt-
kownika jest moduł wyświetlacza LCD o or-
ganizacji 2×16 znaków. Moduł ma sterow-
nik zgodny z  HD44780. Wyświetlacz musi
akceptować napięcie 3,3 V, ponieważ bufory
I/O układów Spartan 3AN50 nie umożliwia-
ją dołączenia 5 V . Zasilanie LCD jest ltro-
wane za pomocą kondensatorów CL, CEL.
Do regulacji kontrastu służy potencjometr
RLV1. Wszystkie sygnały sterujące LCD do-
prowadzone są do układu U1 i w zależności
od potrzeb można do komunikacji z wyświe-
tlaczem zastosować interfejs 4- lub 8-bitowy.
Diody LED. Zestaw jest wyposażony
w cztery diody LED (LD1...4) z rezystorami
(RD1...4). Można ich użyć np. do wskazywa-
nia stanów wyjść. Podłączone są bezpośred-
nio do wyprowadzeń U1 (P50/1, P54/55).
Peryferia analogowe. Układ 3AN50 ma
spore zasoby sprzętowe, można więc poku-
sić się o zaimplementowanie interfejsu I 2 C,
a co za tym idzie, wykorzystanie szerokiej
gamy układów peryferyjnych z tym popular-
nym interfejsem. W przypadku zestawu uru-
chomieniowego zastosowano przetworniki
A/D i D/A umożliwiające dołączenie cyfro-
wego FPGA do „świata analogowego”. Jako
przetwornik A/D wykorzystano układ typu
MCP3211 o  rozdzielczości 12 bitów, nato-
miast jako przetwornik D/A układ MCP4725,
także o rozdzielczości 12 bitów. Ze wzglą-
du na niewielki pobór mocy, przetworniki
są zasilane ze źródła napięcia odniesienia
4,096  V typu MCP1541. Należy pamiętać
o buforowaniu wyjścia D/A lub obciążaniu
go rezystancją większą niż 100 kV. Gdy nie
przewidujemy eksperymentów z sygnałami
analogowymi, można przetworników nie
montować. Sygnały analogowe (wejściowy
i  wyjściowy) są doprowadzone do złącza
JAD.
Oscylator kwarcowy. Dla zapewnienia
wzorcowego sygnału prostokątnego, wyko-
rzystano typowy scalony oscylator OSC1
w obudowie DIP8 przystosowany do zasila-
nia napięciem 3,3 V. Zasilanie jest ltrowane
za pomocą kondensatorów CEO, CO i dławi-
ka FBO. Częstotliwość zastosowanego gene-
ratora zależy od konkretnego przeznaczenia,
dlatego OSC1 zamontowany jest na podstaw-
ce DIP8. Sygnał z oscylatora jest doprowa-
dzony do wejścia globalnego zegara GCLK0
układu U1.
Przestrajany generator przebiegu pro-
stokątnego. Podczas uruchamiania układów
cyfrowych bardzo przydatny (oprócz sygna-
łu zegarowego o stałej częstotliwości z oscy-
latora kwarcowego) jest sygnał prostokątny
o regulowanej częstotliwości. Do generowa-
nia takiego przebiegu wykorzystano specjali-
zowany oscylator UOSC typu LTC1799. Ten
układ ma przestrajany rezystorem generator
przebiegu prostokątnego z dzielnikiem przez
1/10/100. Dzięki temu umożliwia pokrycie
zakresu generowanych sygnałów od 1 kHz
do około 20  MHz. Do płynnego przestra-
jania generatora służy potencjometr RVO
podłączony do wejścia UOSC-SET, do zmia-
ny stopnia podziału służy zwora w złączu
J0 podłączona do pinu UOSC-DIV. Zwarcie
wyprowadzeń J0 1–2 kon guruje dzielnik
do podziału przez 100, zwarcie 2–3 wyłą-
cza dzielnik (podział przez 1), wyciągnięcie
zwory, czyli pozostawienie wyprowadzenia
DIV niepodłączonego, wymusza podział
przez 10. Kondensator CO2 odsprzęga zasi-
lanie UOSC. Sygnał generatora doprowadzo-
ny jest do wejścia globalnego zegara GCLK1
układu U1.
Interfejs USB/RS232. Dla umożliwienia
komunikacji zestawu FPGA z  PC, zastoso-
wano popularny układ konwertera interfejsu
szeregowego RS232/USB rmy FTDI typu
RL232RL. Do wyprowadzeń U1 doprowa-
dzono sygnały „pełnego” interfejsu RS232
udostępnione przez US1. Elementy CS1/2/3
LS odsprzedają zasilanie US1.
Konwerter poziomów. W celu ułatwienia
współpracy z układami starszego typu zasi-
lanymi z 5 V zastosowano dwukierunkowy
konwerter poziomów UCV typu ST2378E.
Zapewnia on dopasowanie sygnałów logicz-
nych TTL do standardów niskonapięcio-
wych. Dostępnych jest 8 linii dwukierunko-
wych, podłączonych bezpośrednio do U1.
Do złącza B32H można doprowadzić sygnały
zewnętrzne w  standardzie TTL. Do złącza
doprowadzone jest także napięci 5 V z por-
tu USB, można je wykorzystać do zasilania
układów prototypowych. Zasilanie UCV od-
sprzęgnięte jest kondensatorami CV1, CV2.
Pamięć EEPROM 64 kB. Płytkę wyposa-
żono w pamięć EEPROM (UE) typu 24LC64
z  interfejsem I 2 C. Rezystory RC1, RC2 za-
pewniają zasilanie magistrali I 2 C. W zależ-
ności od potrzeb, można zworą zewrzeć wy-
prowadzenia P97/P93 U1 do doprowadzania
sygnału SDA z buforów jednokierunkowych
lub skorzystać tylko z pinu U1-93 i wykorzy-
stywać bufory dwukierunkowe.
Złącze karty pamięci SD. Alternaty-
wą dla pamięci UE jest użycie pamięci
SD. W tym celu zestaw został wyposażony
w  gniazdo kart SD (poprzez adapter także
microSD). Do układu U1 doprowadzone są
wszystkie sygnały karty SD wraz z czujni-
kiem obecności karty i zabezpieczenia przed
zapisem.
Złącza rozszerzeń. W celu umożliwienia
dołączenia zewnętrznych układów zastoso-
Wykaz elementów
Rezystory: (SMD 0805)
R1, RD1...RD4, RP: 1 kV
R2: 390 V
RC1, RC2: 22 kV
RE1...RE4: 47 kV
RLV1: 10 kV potencjometr TS35
RS1...RS8: 10 kV
RV1...RV9: 390 V
RV10, RV11: 75 V
RV0: 100 kV potencjometr TS35
Kondensatory:
CC1, CC3, CI, CL, CO1, CP1...CP8, CPM,
CS1...CS3, CSD, CV1, CV2, CO2: 100 nF
(SMD 0805)
CC2, CP9, CP10: 1 mF (SMD 0805)
CEI, CEO: 10 mF/6,3 V (elektrolit.)
CEL, CEP1, CEP2: 10 mF/6,3 V (tantalowy)
Półprzewodniki:
IR1: TSOP31238
LD: dioda LED zielona 0805
LD1, LD2, LD3, LD4, LDP: dioda LED
czerwona 0805
U1: XC3S50AN VQFP144
UAD: MCP3221 (SOT-23/5)
UCV: ST2378E (SSOP-20)
UDA: MCP4725 (SOT-23/6)
UE: 24LC64 (SOT-23/5)
UOSC: LTC1799 (SOT-23/5)
UP1: MCP1700-1.2MB (SOT-89)
UP2: LM1117-3.3 (SOT-223)
UREF: MCP1541 (SOT-23)
US1: FT232RL (SSOP-28)
Inne:
B30, B31, B32H: wtyk IDC10
ENC1, ENC2: Enkoder EC-11 z przyciskiem
J0, JAD: złącze szpilkowe IDC 1×3, raster
2,54 mm
JTAG: złącze szpilkowe IDC 1×6, raster
2,54 mm
JVGA: DB15RAHD/F (złącze VGA)
LCD: LCD_HY1602 (moduł wyświetlacza LCD
2×16; 3,3 V)
OSC1: generator kwarcowy DIP
PWR1: złącze MiniUSB do druku
S0: wyłącznik dwupozycyjny do druku
SD: złącze karty SD SMD ze stykami
pomocniczymi
SW1: mikroprzełacznik
SWHEX1, SWHEX2: Koder binarny
wano w zestawie dwa złącza B30 i B31. Do
każdego z nich doprowadzono bezpośrednio
osiem wyprowadzeń układu U1 oraz zasila-
nie 3,3 V. Sygnały zewnętrzne doprowadzo-
ne do B30/31 muszą być w standardzie 3,3 V.
REKLAMA
ELEKTRONIKA PRAKTYCZNA 4/2011
27
741719591.316.png 741719591.317.png 741719591.318.png 741719591.319.png 741719591.320.png 741719591.321.png 741719591.322.png 741719591.323.png 741719591.324.png 741719591.325.png 741719591.326.png 741719591.327.png 741719591.328.png 741719591.330.png 741719591.331.png 741719591.332.png 741719591.333.png 741719591.334.png 741719591.335.png 741719591.336.png 741719591.337.png 741719591.338.png 741719591.339.png 741719591.341.png 741719591.342.png 741719591.343.png 741719591.344.png 741719591.345.png 741719591.346.png 741719591.347.png 741719591.348.png 741719591.349.png 741719591.350.png 741719591.351.png 741719591.352.png 741719591.353.png 741719591.354.png 741719591.355.png 741719591.356.png 741719591.358.png 741719591.359.png 741719591.360.png 741719591.361.png 741719591.362.png 741719591.363.png 741719591.364.png 741719591.365.png 741719591.366.png 741719591.367.png
PROJEKTY
Złącze PMOD rmy Digilent. Dla umoż-
liwienia stosowania gotowych modułów roz-
szerzeń rmy Digilent (producent zestawów
uruchomieniowych i  bogatej oferty modu-
łów rozszerzających) przewidziano złącze
JPM. Złącze żeńskie o  rozstawie 2,54  mm
i organizacji 2×6 umożliwia stosowanie mo-
dułów o 6 i 12 wyprowadzeniach. Do JPM
jest doprowadzone zasilanie 3,3 V. Nic nie
stoi na przeszkodzie, aby złącze wykorzystać
dla własnych modułów rozszerzeń. Poprzez
złącze JPM oprócz zasilania jest dostępnych
osiem wyprowadzeń I/O układu U1.
Montaż i uruchomienie
Urządzenie jest zmontowane na dwu-
stronnej płytce drukowanej z metalizacją otwo-
rów i soldermaską. Płytka została wykonana
bez warstwy opisu elementów, a  niezbędne
oznaczenia umieszczono na warstwie górnej.
zwiększa to ich trwałość i oczywiście obniża
koszt wykonania płytki. Rozmieszczenie ele-
mentów na górnej warstwie pokazano na ry-
sunku   3 , natomiast na dolnej na rysunku   4 .
Do montażu zestawu konieczne jest użycie
precyzyjnej lutownicy o  regulowanej mocy.
W  pierwszej kolejności lutujemy zgodnie
z rysunku 5. elementy z warstwy górnej. Po
sprawdzeniu poprawności montażu przecho-
dzimy do montażu elementów warstwy dolnej.
W pierwszej kolejności montujemy elementy
bierne, układy scalone, używając odpowied-
niej ilości topnika i kontrolując poprawność
montażu, usuwając ewentualne zwarcia po-
między wyprowadzeniami. Następnie mon-
tujemy przełączniki, złącza szpilkowe złącze
USB i pozostałe elementy, zwracając uwagę na
polaryzacje kondensatorów elektrolitycznych.
Wyświetlacz LCD montujemy na słupkach dy-
stansowych M2×1 0 mm, sprawdzając, czy nie
opiera się on o złącze JPM. Po montażu płytki
i sprawdzeniu poprawności warto przemyć ją
preparatem usuwającym pozostałości topnika.
Jeżeli płytka została zmontowana bez-
błędnie, nie wymaga uruchomienia, można
sprawdzić jedynie obecność napięć zasilania
1,2 V i 3,3 V. Po podłączeniu zasilania (USB)
i programatora do złącza JTAG należy spraw-
dzić możliwość programowanie układu U1.
W  oknie programu iMPACT, obsługującego
programator, powinien pojawić się prawidło-
wo odczytany łańcuch JTAG przedstawiony
na rysunku   5 . Układ U1 skon gurowany jest
w trybie ładowania i współpracy z wewnętrz-
ną pamięcią kon guracji. Po zaprogramowa-
niu dioda LDP „DONE” powinna zaświecić,
w  przypadku konieczności przeładowania
kon guracji FPGA można wymusić je naciś-
nięciem przycisku S0.
Uruchomienie jest zakończone, nie po-
zostaje nic innego jak życzyć miłego użytko-
wania zestawu podczas własnych ekspery-
mentów z FPGA Spartan3AN50.
Adam Tatuś
atatus@op.pl
Rysunek 3. Rozmieszczenie elementów na warstwie górnej
Rysunek 4. Rozmieszczenie elementów na warstwie dolnej
Rysunek 5. Odczyt łańcucha JTAG zestawu FPGA
Literatura:
http://www.xilinx.com/support/
documentation/spartan-3an.htm
„Układy FPGA w przykładach” Jacek
Majewski, Piotr Zbysiński, BTC 2007.
„Układy programowalne pierwsze kroki”,
Piotr Zbysiński, Jerzy Pasierbiński, BTC 2004.
„Wprowadzenie do języka Verilog”, Zbigniew
Hajduk, BTC 2009.
28
ELEKTRONIKA PRAKTYCZNA 4/2011
741719591.368.png 741719591.369.png 741719591.370.png 741719591.371.png 741719591.372.png 741719591.373.png 741719591.374.png 741719591.375.png 741719591.376.png 741719591.377.png 741719591.379.png 741719591.380.png 741719591.381.png 741719591.382.png 741719591.383.png 741719591.384.png 741719591.385.png 741719591.386.png 741719591.387.png 741719591.388.png 741719591.389.png 741719591.390.png 741719591.391.png 741719591.392.png 741719591.393.png 741719591.394.png 741719591.395.png 741719591.396.png 741719591.397.png 741719591.398.png 741719591.400.png 741719591.401.png 741719591.402.png 741719591.403.png 741719591.404.png 741719591.405.png 741719591.406.png 741719591.407.png 741719591.408.png 741719591.409.png 741719591.411.png 741719591.412.png 741719591.413.png 741719591.414.png 741719591.415.png 741719591.416.png 741719591.417.png 741719591.418.png 741719591.419.png 741719591.420.png 741719591.422.png 741719591.423.png 741719591.424.png 741719591.425.png 741719591.426.png 741719591.427.png 741719591.428.png 741719591.429.png 741719591.430.png 741719591.431.png 741719591.433.png 741719591.434.png 741719591.435.png 741719591.436.png 741719591.437.png 741719591.438.png 741719591.439.png 741719591.441.png 741719591.442.png 741719591.443.png 741719591.444.png 741719591.445.png 741719591.447.png 741719591.448.png 741719591.449.png 741719591.450.png 741719591.451.png 741719591.453.png 741719591.454.png 741719591.455.png 741719591.456.png 741719591.457.png 741719591.460.png 741719591.461.png 741719591.462.png 741719591.463.png 741719591.464.png 741719591.465.png 741719591.466.png 741719591.468.png 741719591.469.png 741719591.470.png 741719591.471.png 741719591.472.png 741719591.473.png 741719591.474.png 741719591.475.png 741719591.476.png 741719591.477.png 741719591.479.png 741719591.480.png 741719591.481.png 741719591.482.png 741719591.483.png 741719591.484.png 741719591.485.png 741719591.486.png 741719591.487.png 741719591.488.png 741719591.490.png 741719591.491.png 741719591.492.png 741719591.493.png 741719591.494.png 741719591.495.png 741719591.496.png 741719591.497.png 741719591.498.png 741719591.499.png 741719591.501.png 741719591.502.png 741719591.503.png 741719591.504.png 741719591.505.png 741719591.506.png 741719591.507.png 741719591.508.png 741719591.509.png 741719591.510.png 741719591.512.png 741719591.513.png 741719591.514.png 741719591.515.png 741719591.516.png 741719591.517.png 741719591.518.png 741719591.519.png 741719591.520.png 741719591.521.png 741719591.523.png 741719591.524.png 741719591.525.png 741719591.526.png 741719591.527.png 741719591.528.png 741719591.529.png 741719591.530.png 741719591.531.png 741719591.532.png 741719591.534.png 741719591.535.png 741719591.536.png 741719591.537.png 741719591.538.png 741719591.539.png 741719591.540.png 741719591.541.png 741719591.542.png 741719591.543.png 741719591.545.png 741719591.546.png 741719591.547.png 741719591.548.png 741719591.549.png 741719591.550.png 741719591.551.png 741719591.552.png 741719591.553.png 741719591.554.png 741719591.556.png 741719591.557.png 741719591.558.png 741719591.559.png 741719591.560.png 741719591.561.png 741719591.562.png 741719591.563.png 741719591.564.png 741719591.565.png 741719591.568.png 741719591.569.png 741719591.570.png 741719591.571.png 741719591.572.png 741719591.573.png 741719591.574.png 741719591.575.png 741719591.576.png 741719591.577.png 741719591.579.png 741719591.580.png 741719591.581.png 741719591.582.png 741719591.583.png 741719591.584.png 741719591.585.png 741719591.586.png 741719591.587.png 741719591.588.png 741719591.590.png 741719591.591.png 741719591.592.png 741719591.593.png 741719591.594.png 741719591.595.png 741719591.596.png 741719591.597.png 741719591.598.png 741719591.599.png 741719591.601.png 741719591.602.png 741719591.603.png 741719591.604.png 741719591.605.png 741719591.606.png 741719591.607.png 741719591.608.png 741719591.609.png 741719591.610.png 741719591.612.png 741719591.613.png 741719591.614.png 741719591.615.png 741719591.616.png 741719591.617.png 741719591.618.png 741719591.619.png 741719591.620.png 741719591.621.png 741719591.623.png 741719591.624.png 741719591.625.png 741719591.626.png 741719591.627.png 741719591.628.png 741719591.629.png 741719591.630.png 741719591.631.png 741719591.632.png 741719591.634.png 741719591.635.png 741719591.636.png 741719591.637.png 741719591.638.png 741719591.639.png 741719591.640.png 741719591.641.png 741719591.642.png 741719591.643.png 741719591.645.png
Zgłoś jeśli naruszono regulamin