Elektronika Praktyczna 1999-06.pdf

(5278 KB) Pobierz
<!DOCTYPE html PUBLIC "-//W3C//DTD HTML 4.01//EN" "http://www.w3.org/TR/html4/strict.dtd">
6/99
czerwiec
6 zł 80 gr
864266078.182.png 864266078.193.png 864266078.204.png 864266078.215.png 864266078.001.png 864266078.012.png 864266078.023.png 864266078.034.png 864266078.045.png 864266078.056.png 864266078.067.png 864266078.078.png 864266078.089.png 864266078.100.png 864266078.111.png 864266078.122.png 864266078.133.png 864266078.144.png 864266078.146.png 864266078.147.png 864266078.148.png 864266078.149.png 864266078.150.png 864266078.151.png 864266078.152.png 864266078.153.png 864266078.154.png 864266078.155.png 864266078.156.png 864266078.157.png 864266078.158.png 864266078.159.png 864266078.160.png 864266078.161.png 864266078.162.png 864266078.163.png 864266078.164.png 864266078.165.png 864266078.166.png 864266078.167.png 864266078.168.png 864266078.169.png 864266078.170.png 864266078.171.png 864266078.172.png 864266078.173.png 864266078.174.png 864266078.175.png 864266078.176.png 864266078.177.png 864266078.178.png 864266078.179.png 864266078.180.png
Telewizyjny dekoder dźwięku NICAM
Dzięki uprzejmości firmy MJM
przetestowaliśmy w naszym
laboratorium dekoder
cyfrowego dźwięku
stereo do odbiorników
telewizyjnych. Efekty
naszych badań
przedstawiamy na
str. 34.
Intrefejs IrDA do kompu−
tera PC
Projekt ze str. 69 opra−
cowaliśmy z myślą
o użytkowni−
kach kompu−
terów PC,
którzy chcą
w praktyce wyko−
rzystać możliwości
oferowane przez nowo−
czesny, bezprzewodowy
interfejs IrDA.
Kapacz dręczyciel
Urządzenie,
którego opis
znajduje się
na str. 67
zaspokoi
sadystyczne
zapędy nawet
najbardziej wybrednych
Czytelników...
Projekty Czytelników
Otrzymujemy od Was coraz
więcej projektów. Aby nieco
“rozładować” kolejkę w tym
miesiącu publikujemy trzy
interesujące opisy:
pierwszą część miernika
mocy w optycznych
torach światłowodowych,
sterownik oświetlenia MIDI
oraz bardzo prosty
sterownik
semafora
drogowego.
Zapraszamy
na str. 83.
Licznik amperogodzin
Urządzenie opracowane przede wszyst−
kim z myślą o modelarzach, pozwala
ono bowiem dokładnie ocenić stan
naładowania akumulatorów stanowią−
cych źródło zasilania wielu modeli.
Str. 49.
Sterownik graficzne−
go wyświetlacza LCD
Po raz pierwszy na
łamach EP zajmujemy się
problematyką programo−
wania i sterowania pracą
graficznych wyświetlaczy
LCD. Interesujący artykuł
znajdziecie na str. 57.
Układ zdalnego
pozycjonowania
kamery przemysło−
wej
Na str. 53 przedstawiamy
przykład niebanalnego
zastosowania serwome−
chanizmów.
Na str. 33 przedstawiamy
dwa interesujące oscylo−
skopy niemieckiej firmy
Hameg.
Piraci
Co tu dużo mówić... Sami
zajrzyjcie na str. 27.
Elektronika Praktyczna 6/99
6
864266078.181.png 864266078.183.png 864266078.184.png 864266078.185.png 864266078.186.png 864266078.187.png 864266078.188.png 864266078.189.png 864266078.190.png 864266078.191.png 864266078.192.png 864266078.194.png
Nr 78
czerwiec'99
Projekty zagraniczne
Programator mikrokontrolerów Atmel AT89C2051/1051........13
Elektor w EP
Pojemnościowy czujnik poziomu cieczy ..................................19
Tester ciągłości VCO...................................................................22
Gra na refleks...............................................................................24
Wysokiej jakości oscylator do cyfrowej fonii............................25
Internet
Piraci..............................................................................................27
Stacja meteorologiczna
firmy Dallas
Spektakularny pokaz możliwości
układów rodziny iButton firmy
Dallas. Str. 37.
Programy
Komfortowe LOGO!Soft..............................................................31
Fundacja Xilinxa...........................................................................39
Sprzęt
Analizator widma HP ESA−E4407B..............................................29
Oscyloskopy Hameg HM404/407 ..............................................33
Wielosystemowy dekoder dźwięku stereofonicznego
NICAM do odbiorników TV i magnetowidów..........................34
Stacja meteorologiczna firmy Dallas........................................37
Cyfrowy mostek pomiarowy RLC
Kolejny przyrząd z oferty Grundiga
przedstawiamy na str. 36.
Projekty
Odbiornik GPS..............................................................................42
Licznik amperogodzin .................................................................49
Układ zdalnego pozycjonowania
przemysłowej kamery wideo .....................................................53
Programowanie sterowników
wyświetlaczy graficznych, część 1 ...........................................57
Miniprojekty
Kapacz dręczyciel.......................................................................67
Interfejs IrDA do PC .....................................................................68
Podzespoły
Nowe podzespoły .......................................................................71
Co w "Z"−ecie piszczy ..................................................................79
Projekty Czytelników
LOGO!Soft Comfort...
...czyli nowy pakiet oprogramo−
wania narzędziowego dla LOGO!
Przedstawiamy jego możliwości na
str. 31.
Miernik mocy optycznej w światłowodzie, część 1 ................83
Uliczny sygnalizator świetlny.......................................................86
Świetlny sekwencer MIDI ............................................................87
Forum................................................................................ 90
Analizator widma
Potężny (pod każdym względem!)
przyrząd HP prezentujemy na str. 29.
Info Świat ......................................................................... 91
Info Kraj............................................................................ 93
Kramik+Rynek ................................................................ 99
Wykaz reklamodawców ............................................ 115
Ekspresowy Informator Elektroniczny..................... 116
Wyniki konkursów.......................................................... 90
Elektronika Praktyczna 6/99
7
864266078.195.png 864266078.196.png 864266078.197.png 864266078.198.png 864266078.199.png 864266078.200.png 864266078.201.png 864266078.202.png 864266078.203.png 864266078.205.png 864266078.206.png 864266078.207.png 864266078.208.png 864266078.209.png
P R O J E K T Y Z A G R A N I C Z N E
PROJEKTY ZAGRANICZNE
Programator
mikrokontrolerów
Atmel AT89C2051/1051
Uk³ady AT89 stanowi¹
dobr¹ alternatywÍ dla
mikrokontrolerÛw PIC, jeúli
niezbÍdne s¹ bardziej
rozbudowane polecenia
i†moøliwoúÊ sterowania.
W†artykule prezentujemy
uk³ad prostego programatora
procesorÛw AT89, dziÍki
ktÛremu bÍdzie moøna bez
trudu rozpocz¹Ê tworzenie
przy ich pomocy w³asnych
projektÛw.
Bardzo uøyteczne i†nadaj¹ce siÍ
do wykorzystania w†rÛønych za-
stosowaniach procesory Atmel
AT89C2051/1051 naleø¹ do rodzi-
ny 8051. Wyposaøone s¹ w†we-
wnÍtrzn¹ pamiÍÊ PEROM flash
(ang. Programmable and Erasable
Read Only Memory), ktÛra moøe
byÊ ponad tysi¹ckrotnie przepro-
gramowana. Przeprogramowanie
takiej pamiÍci trwa kilka sekund
i†nie wymaga d³ugotrwa³ego etapu
kasowania jej zawartoúci przy po-
mocy ultrafioletu. Procesory te s¹
montowane w†obudowach 20-nÛø-
kowych.
Opisany w†artykule programa-
tor wspÛ³pracuje z†komputerem
PC przez port rÛwnoleg³y. Oprog-
ramowanie dzia³a w†úrodowisku
DOS, co pozwala na jego uøycie
w niemal wszystkich wspÛ³czes-
nych komputerach PC.
Aby programator mÛg³ dzia³aÊ
we wszystkich przewidzianych
trybach pracy, port rÛwnoleg³y
komputera powinien zostaÊ skon-
figurowany do pracy w†trybie 8-
bitowego portu dwukierunkowego
(nazywanego takøe trybem PS/2).
Zmiany konfiguracji dokonaÊ moø-
na modyfikuj¹c ustawienia BIOS-
u podczas procedury startowej
komputera. Przydatny przy tym
bÍdzie podrÍcznik uøytkownika
komputera.
Hardware i†oprogramowanie zo-
sta³y zaprojektowane w†oparciu
o†informacje podane w†nocie ap-
likacyjnej firmy Atmel (informacje
w†odpowiednim podrÍczniku, CD
lub na stronie internetowej
www.atmel.com ).
Mimo øe implementacje s¹ rÛø-
ne (zw³aszcza dotyczy to strony
uk³adowej), zarÛwno uk³ad jak
i†oprogramowanie powinny byÊ
funkcjonalnie zgodne z†opisanymi
w†nocie aplikacyjnej. Uwaga: opi-
sywany programator nie wspÛ³-
pracuje z†uk³adami o†40 wypro-
wadzeniach (AT89C51/52).
Tryby programowania
Uk³ady 2051/1051 mog¹ byÊ
programowane w†rÛøny sposÛb.
Tryby programowania s¹ ustalane
poprzez podanie sygna³Ûw steru-
j¹cych na wyprowadzenia P3.3 -
P.3.7 ( rys. 1 i† tab. 1 ).
Read Signature Data -
Odczyt bajtÛw sygnatury
Trzy bajty s¹ zaprogramowane
przez producenta i†umoøliwiaj¹
identyfikacjÍ procesora:
Bajt 1 †- okreúla producenta
(1EH - Atmel)
Bajt 2 †- okreúla typ uk³adu
(21H - 2051, 11H - 1051)
Bajt 3 †- okreúla napiÍcie
programuj¹ce (FFH - 12V)
Dane układu AT89C2051:
zgodność z MCS−51;
2kB reprogramowalnej pamięci flash, do 1000
cykli kasowanie/zapis;
zakres napięć zasilania 2,7V..6V;
zakres częstotliwości zegara 0..24MHz;
podwójna blokada pamięci programu;
wewnętrzna pamięć RAM 128*8 bitów;
15 programowanych linii we/wy;
dwa 16−bitowe liczniki/timery;
sześć źródeł przerwań;
programowalne złącze szeregowe UART;
możliwość bezpośredniego sterowania diod
LED;
wbudowany komparator analogowy;
2 tryby pracy z niskim poborem mocy (ang.
Idle oraz Power Down).
Write Code Data
- Zapis programu
W†procesie tym jest dokonywa-
ny zapis kodu do pamiÍci PE-
ROM. Przed rozpoczÍciem zapisu
naleøy usun¹Ê zawartoúÊ pamiÍci
(po skasowaniu stany wszystkich
komÛrek wynosz¹ FFH), co jest
realizowane w†sposÛb elektrycz-
ny, bez potrzeby uøywania kasow-
nika ultrafioletowego.
Podczas programowania w†we-
wnÍtrznym liczniku znajduje siÍ
Tab. 1.
Tryb programowania
P3.2
P3.3
P3.3
P3.4
P3.5
P3.7
Zapis kodu
12V
!CLK
L
H
H
H
Odczyt kodu
H
H
L
L
H
H
Zapis Lock 1
12V
!CLK
H
H
H
H
Zapis Lock 2
12V
!CLK
H
H
L
L
Kasowanie
12V
!CLK
H
L
L
L
Sygnatura
H
H
L
L
L
L
Elektronika Praktyczna 6/99
13
864266078.210.png 864266078.211.png 864266078.212.png 864266078.213.png 864266078.214.png 864266078.216.png 864266078.217.png 864266078.218.png
 
P R O J E K T Y Z A G R A N I C Z N E
zawartoúci pamiÍci, w†tym takøe
bajtÛw blokady.
- READ SIGNATURE - wyprowa-
dzenie na ekran zawartoúci bi-
tÛw identyfikuj¹cych.
- PROGRAM - zaprogramowanie
uk³adu zawartoúci¹ podanego
zbioru.
- PROGRAM LOCK - blokada pro-
gramowania i†odczytu.
- VERIFY - odczyt zawartoúci pa-
miÍci i†porÛwnanie z†zawartoú-
ci¹ podanego zbioru.
- SAVE - Odczyt zawartoúci pa-
miÍci i†zapis w†postaci zbioru
binarnego.
Rys. 1. Konfiguracja wyprowadzeń i przepływ informacji podczas odczytu
i programowania układów AT89C2051/1051.
bieø¹cy adres, inkrementowany po
zaprogramowaniu kaødej komÛrki,
aø do zape³nienia pamiÍci mikro-
kontrolera.
napiÍcia programuj¹cego. Zaleø-
noúci czasowe cykli programowa-
nia s¹ kontrolowane przez pro-
gram komputerowy.
Uk³ad przedstawiony jest na
rys. 2 . Ca³oúÊ operacji kodowania
i†dekodowania realizuje prepro-
gramowany uk³ad PLD (IC3).
Nadajnik szyny LS245 (IC1)
stanowi bufor linii danych, ponie-
waø niektÛre z†kart I/O PC posia-
daj¹ w†porcie rÛwnoleg³ym rezys-
tory podci¹gaj¹ce i†programator
musi zapewniÊ pr¹d o†dostatecz-
nie wysokim natÍøeniu.
Bufor HC240 (IC5) odcina syg-
na³y steruj¹ce od programowanego
uk³adu podczas sekwencji starto-
wej.
NapiÍcie programuj¹ce moøe
przyjmowaÊ wartoúci 0V, 5V
i†12V. NapiÍÊ tych dostarcza sta-
bilizator LM317T (IC4), a†tranzys-
tory TR1 i†TR2 pozwalaj¹ na ich
prze³¹czanie.
Wykonanie
Schemat rozmieszczenia ele-
mentÛw oraz mozaikÍ úcieøek dru-
ku p³ytki programatora przedsta-
wia rys. 3.
Na p³ytce znajduje siÍ kilka
w¹skich úcieøek i†ma³ych punk-
tÛw, a†wiÍc naleøy zachowaÊ os-
troønoúÊ przy samodzielnym wy-
konywaniu otworÛw. Do wykony-
wania otworÛw naleøy uøywaÊ
odpowiednio dobranych wierte³
(0,8mm w†przypadku otworÛw
pod uk³ady IC1, IC3, IC4, tran-
zystory, rezystory i†kondensatory,
a†1,2mm w†przypadku IC2 i†IC4
oraz 1mm w†przypadku z³¹cz).
Jeúli zaistnieje potrzeba wyluto-
wania ktÛregokolwiek z†podzespo-
³Ûw, to naleøy doprowadzaÊ jak
najmniej ciep³a, poniewaø úcieøki
mog¹ ulec oderwaniu od p³ytki.
Przed montaøem elementÛw na-
leøy wlutowaÊ zworki, zw³aszcza
tÍ, ktÛra znajduje siÍ pod uk³a-
dem IC3.
Na schemacie przewidziano
uøycie dwÛch rÛønych rodzajÛw
rezystorÛw - standardowych i†mi-
niaturowych 0,125W. Jeúli wyst¹-
pi¹ trudnoúci ze zdobyciem takich
rezystorÛw, moøna wlutowaÊ pio-
nowo rezystory standardowe.
Montuj¹c modu³ oporowy
(R14..R21) naleøy pamiÍtaÊ o†jego
prawid³owym ustawieniu (kropka
na obudowie przy wyprowadze-
niu 1).
Podstawka IC6 powinna byÊ
typu ZIF, poniewaø wk³adany
w†ni¹ bÍdzie programowany
uk³ad. Podstawki ZIF posiadaj¹
na ogÛ³ wiÍcej niø 20 wyprowa-
dzeÒ i†moøe okazaÊ siÍ konieczne
wstawienie jej w†zwyk³¹ podstaw-
kÍ. Pod uk³ady IC1, IC3 i†IC5
stosujemy podstawki standardo-
Chip Erase
- Kasowanie PamiÍci
W†tym trybie ca³a zawartoúÊ
pamiÍci PEROM oraz oba bity
blokuj¹ce zostaj¹ skasowane. Jest
to operacja niezbÍdna przed przy-
st¹pieniem do programowania. Po
skasowaniu stany komÛrek powin-
ny wynosiÊ FFH.
Read Code
- Odczyt Programu
ZawartoúÊ pamiÍci PEROM mo-
øe zostaÊ odczytana, pod warun-
kiem, øe drugi bit blokady nie jest
ustawiony. MoøliwoúÊ odczytania
zawartoúci pamiÍci moøe byÊ przy-
datna podczas weryfikacji oprog-
ramowania, a†takøe do skopiowa-
nia programu do innego mikro-
kontrolera, gdy nie dysponujemy
zbiorem zawieraj¹cym ten pro-
gram.
Opis oprogramowania
Zaleønoúci czasowe cykli pro-
gramowania s¹ kontrolowane
przez oprogramowanie. Aby unie-
zaleøniÊ oprogramowanie od sys-
temu, wszystkie opÛünienia s¹
generowane przez timer kompute-
ra.
Write Lock Bits
- Zapis BitÛw Blokady
ZawartoúÊ pamiÍci PEROM jest
niedostÍpna po zaprogramowaniu
bitÛw blokady. Ustawienie pierw-
szego bitu blokady uniemoøliwia
dalsze programowanie uk³adu, na-
tomiast ustawienie drugiego bitu
blokady - uniemoøliwia odczyt
zawartoúci pamiÍci, zapobiegaj¹c
kopiowaniu zawartoúci lub prÛbie
odtworzenia programu asemblero-
wego.
Oprogramowanie jest oparte na
algorytmie przedstawionym w†no-
cie aplikacyjnej firmy Atmel.
Wprowadzono w†nim jednak pew-
ne zmiany i†ulepszenia. Najistot-
niejsza zmiana polega na umoø-
liwieniu odczytu przez program
zbiorÛw w†postaci binarnej i†w†ko-
dzie Intela (mog¹ to byÊ zbiory
z†niesekwencyjnym adresowaniem
- na ktÛrych odczyt nie pozwalaj¹
niektÛre programy konwersji).
Oprogramowanie zapewnia wy-
konanie nastÍpuj¹cych operacji:
- ERASE DEVICE - skasowanie
Opis uk³adu
Hardware zawiera interfejs miÍ-
dzy portem rÛwnoleg³ym kompu-
tera PC a†programowanym uk³a-
dem oraz zapewnia doprowadze-
nie w³aúciwego dla danego uk³adu
14
Elektronika Praktyczna 6/99
864266078.219.png 864266078.220.png 864266078.221.png 864266078.222.png 864266078.223.png 864266078.224.png 864266078.002.png 864266078.003.png 864266078.004.png 864266078.005.png 864266078.006.png 864266078.007.png 864266078.008.png 864266078.009.png 864266078.010.png 864266078.011.png 864266078.013.png 864266078.014.png 864266078.015.png 864266078.016.png 864266078.017.png 864266078.018.png 864266078.019.png 864266078.020.png 864266078.021.png 864266078.022.png 864266078.024.png 864266078.025.png 864266078.026.png 864266078.027.png 864266078.028.png 864266078.029.png 864266078.030.png 864266078.031.png 864266078.032.png 864266078.033.png 864266078.035.png 864266078.036.png 864266078.037.png 864266078.038.png 864266078.039.png 864266078.040.png 864266078.041.png 864266078.042.png 864266078.043.png 864266078.044.png 864266078.046.png 864266078.047.png 864266078.048.png 864266078.049.png 864266078.050.png 864266078.051.png 864266078.052.png 864266078.053.png 864266078.054.png 864266078.055.png 864266078.057.png 864266078.058.png 864266078.059.png 864266078.060.png 864266078.061.png 864266078.062.png 864266078.063.png 864266078.064.png 864266078.065.png 864266078.066.png 864266078.068.png 864266078.069.png 864266078.070.png 864266078.071.png 864266078.072.png 864266078.073.png 864266078.074.png 864266078.075.png 864266078.076.png 864266078.077.png 864266078.079.png 864266078.080.png 864266078.081.png 864266078.082.png 864266078.083.png 864266078.084.png 864266078.085.png 864266078.086.png 864266078.087.png 864266078.088.png 864266078.090.png 864266078.091.png 864266078.092.png 864266078.093.png 864266078.094.png 864266078.095.png 864266078.096.png 864266078.097.png 864266078.098.png 864266078.099.png 864266078.101.png 864266078.102.png 864266078.103.png 864266078.104.png 864266078.105.png 864266078.106.png 864266078.107.png 864266078.108.png 864266078.109.png 864266078.110.png 864266078.112.png 864266078.113.png 864266078.114.png 864266078.115.png 864266078.116.png 864266078.117.png 864266078.118.png 864266078.119.png 864266078.120.png 864266078.121.png 864266078.123.png 864266078.124.png 864266078.125.png 864266078.126.png 864266078.127.png 864266078.128.png 864266078.129.png 864266078.130.png 864266078.131.png 864266078.132.png 864266078.134.png 864266078.135.png 864266078.136.png 864266078.137.png 864266078.138.png 864266078.139.png 864266078.140.png 864266078.141.png 864266078.142.png 864266078.143.png 864266078.145.png
 
Zgłoś jeśli naruszono regulamin