14_18.pdf

(247 KB) Pobierz
Zegar Nixie dla oszczędnych. AVT-521
Zegar Nixie dla oszczędnych
Zegar Nixie
dla oszczędnych
AVT−521
Dawno temu, na pocz¹tku
lat 70., gdy o†przenoúnych
komputerach osobistych moøna
by³o poczytaÊ w†powieúciach
fantastyczno-naukowych,
a†wúrÛd elektronikÛw
zaczyna³y kr¹øyÊ wieúci
o†tajemniczych diodach LED,
do wyúwietlania cyfr
powszechnie stosowano
wskaüniki jarzeniowe Nixie.
Minͳo parÍ lat i†dziú, na
kolejnej fali ìpowrotÛw do
przesz³oúciî, znÛw pojawi³y
siÍ urz¹dzenia elektroniczne
w†stylu retro, ze szklanymi
baÒkami. Moda ma swoje
prawa, wiÍc ulegamy jej
z nadziej¹, øe projekt ma³ego
zegara bÍdzie dla m³odszych
CzytelnikÛw interesuj¹cy,
a†starszym przypomni czasy,
gdy rozpoczynali swoj¹
przygodÍ z†elektronik¹.
Cyfrowe wskaüniki
jarzeniowe
Lampy tego rodzaju s¹ pod
wzglÍdem zasady dzia³ania bliski-
mi kuzynami niewielkich lampek
stosowanych chociaøby w†prÛbni-
kach napiÍcia - wskaünikach ja-
rzeniowych. åwiecenie wywo³uje
w†nich przep³yw pr¹du pomiÍdzy
dwiema elektrodami umieszczony-
mi w†specjalnej atmosferze rozrze-
dzonego gazu, np. neonu. NapiÍ-
cie zap³onu takich lamp zawiera
siÍ w†granicach od kilkudziesiÍ-
ciu do kilkuset woltÛw, a po
zap³onie (wy³adowaniu jarzenio-
wym) spadek napiÍcia na lampie
(napiÍcie robocze) wynosi kilka-
naúcie woltÛw. Pr¹d, przy ktÛrym
lampa zaczyna úwieciÊ po zap³o-
nie jest bardzo ma³y - rzÍdu
dziesi¹tek mikroamper. Szczelne
szklane baÒki lamp maj¹ za za-
danie utrzymanie odpowiedniego
sk³adu i†ciúnienia gazu wokÛ³
elektrod.
Lampy Nixie umoøliwiaj¹ naj-
czÍúciej wyúwietlanie cyfr dzie-
siÍtnych od 0†do 9, ale ich
budowa sprawia, øe mog¹ s³uøyÊ
takøe do wyúwietlania rÛønych
symboli. Jest to zaleøne od
ukszta³towania katody, wokÛ³ ktÛ-
rej zjonizowane cz¹steczki gazu,
wskutek p³yn¹cego pr¹du, jarz¹
siÍ czerwonawym úwiat³em.
W†lampach przystosowanych do
wyúwietlania cyfr dziesiÍtnych,
katod jest dziesiÍÊ, a kaøda ma
kszta³t odpowiedniej cyfry. Wy-
prowadzenia katod wtopione s¹
w†szklan¹ baÒkÍ i†wyprowadzone
na zewn¹trz oddzielnymi druta-
mi. Anoda dla wszystkich katod
jest wspÛlna i†ma postaÊ siatki
o†rzadkich oczkach, otaczaj¹c pÛ³-
koliúcie wszystkie katody. Cyfry
katod (patrz¹c od strony obser-
watora) s¹ montowane jedna za
drug¹ w†taki sposÛb, aby siÍ ze
sob¹ nie zwiera³y. W†momencie
podania napiÍcia pomiÍdzy ano-
dÍ lampy a†wybran¹ katodÍ, gaz
wokÛ³ niej zaczyna úwieciÊ. Po-
niewaø znajduj¹ce siÍ przed ni¹
katody innych wygaszonych
w†tym momencie cyfr s¹ wyko-
nane z†cienkiego drutu i†przys³a-
14
Elektronika Praktyczna 8/2003
P R O J E K T Y
32628222.050.png 32628222.051.png
Zegar Nixie dla oszczędnych
Rys. 1. Schemat elektryczny sterownika zegara
niaj¹ j¹ tylko nieznacznie, a†ocz-
ka siatki anody s¹ duøe, dla
obserwatora ìzapalonaî katoda
prezentuje siÍ jako jasna i†czytel-
na cyfra úwiec¹ca w†szklanej baÒ-
ce lampy.
i†pos³uøenie siÍ mikrokontrolerem
z†prostym programem steruj¹cym
wydawa³o siÍ najbardziej racjonal-
ne. Jednak jak wiadomo, mikro-
kontrolery zasilane s¹ niskim na-
piÍciem i†nie toleruj¹ poziomÛw
napiÍÊ potrzebnych do zapalenia
lamp Nixie. Dzisiaj bez trudu
moøna zdobyÊ elementy spe³nia-
j¹ce rolÍ poúrednikÛw pomiÍdzy
niskonapiÍciowym úwiatem proce-
sorÛw a†wysokonapiÍciowymi
wskaünikami jarzeniowymi. Gra-
niczne napiÍcie U CE tranzystorÛw
n-p-n typu MPSA42 i†p-n-p typu
MPSA92 wynosi bowiem 300†V
i†elementy te mog¹ pe³niÊ rolÍ
interfejsu pomiÍdzy nisko- i†wy-
sokonapiÍciowymi obwodami ze-
gara. Maksymalny pr¹d kolekto-
rÛw†tranzystorÛw wynosi 0,5 A,
co znacznie przewyøsza potrzeby
opisywanego uk³adu. Dok³adne in-
formacje na temat tych i†podob-
nych tranzystorÛw moøna uzyskaÊ
na stronie internetowej firmy ON
Semiconductor ( http://www.onse-
mi.com ).
Mikrokontroler w†úwiecie
wysokich napiÍÊ
Do budowy czÍúci steruj¹cej
zegara wykorzystany zosta³ mik-
rokontroler jednouk³adowy i†uk³ad
scalony zegara czasu rzeczywiste-
go. Z†punktu widzenia elektronika
budowa czasomierza jest prosta:
jest to zestaw po³¹czonych ze
sob¹ licznikÛw taktowanych pre-
cyzyjnym generatorem. Jednak zro-
bienie tego w†starym stylu, cho-
ciaøby z†uøyciem scalonych licz-
nikÛw cyfrowych, by³oby przesad-
nym utrudnianiem sobie zadania
Budowa zegara i†sposÛb
dzia³ania
Schemat elektryczny sterowni-
ka zegara pokazano na rys. 1 .
Pomiarem czasu w†opisywanym
zegarze zajmuje siÍ uk³ad U4,
czyli scalony zegar czasu rzeczy-
wistego (RTC) 8583. Nie jest to
nowy uk³ad, ale bardzo rozpo-
wszechniony, poniewaø dobrze
siÍ sprawdza w†rÛønych zastoso-
waniach. Moøe on spe³niaÊ takøe
rolÍ kalendarza automatycznie
ustalaj¹cego dni tygodnia, mie-
si¹ce, a†nawet lata przestÍpne,
Elektronika Praktyczna 8/2003
15
32628222.052.png 32628222.053.png 32628222.001.png 32628222.002.png 32628222.003.png 32628222.004.png 32628222.005.png 32628222.006.png 32628222.007.png 32628222.008.png 32628222.009.png 32628222.010.png 32628222.011.png 32628222.012.png 32628222.013.png 32628222.014.png 32628222.015.png 32628222.016.png 32628222.017.png
Zegar Nixie dla oszczędnych
Rys. 2. Schemat elektryczny wyświetlacza
matora TR2 pojawia siÍ wysokie
napiÍcie ktÛre jest prostowane
i†filtrowane w obwodzie z ele-
mentami B1 i†C3.
Na marginesie naleøy dodaÊ,
øe takie po³¹czenie dwÛch trans-
formatorÛw dobrze uzmys³awia,
jak wielkie s¹ straty energii
powsta³e podczas jej przesy³ania,
gdy pozornie nie jest wyko-
nywana øadna praca. Poniewaø
oba transformatory s¹ takie same,
wiÍc napiÍcie na wyjúciu TR2
powinno byÊ takie samo, jak na
wejúciu TR1, ale - jak pokaza³y
doúwiadczenia - nie jest. Nawet
bez obci¹øenia innymi uk³adami
czÍúÊ energii zostaje tracona
w†rdzeniach obydwu transforma-
torÛw na skutek dzia³ania pr¹-
dÛw†wirowych i†ich opornoúci
magnetycznej. Ta czÍúÊ straconej
energii jest wyczuwalna jako na-
grzewanie siÍ rdzeni transforma-
torÛw.
Dzia³anie zegara jest bardzo
proste i†program steruj¹cy mikro-
kontrolerem moøe napisaÊ nawet
ma³o doúwiadczony programista.
Mikrokontroler odczytuje z†uk³adu
RTC za pomoc¹ magistrali I 2 C
informacje o†aktualnym czasie. Na-
stÍpnie przekszta³ca j¹ na sygna³y
steruj¹ce zapalaniem odpowied-
nich katod lampek Nixie w†trybie
multipleksowania: odpowiednio
cyfr dziesi¹tek godzin, jednostek
godzin, dziesi¹tek minut i†jednos-
tek minut.
ale w†prezentowanym zastosowa-
niu te funkcje nie bÍd¹ wyko-
rzystane. Najwaøniejsze, øe uk³ad
podczas normalnej pracy pobiera
bardzo ma³o pr¹du (jakie to waø-
ne, powiemy za chwilÍ) oraz, øe
komunikuje siÍ z†mikrokontrole-
rem za pomoc¹ magistrali I 2 C, co
oznacza, øe wymaga po³¹czenia
jedynie z†dwiema liniami I/O
mikrokontrolera. Pozosta³e wy-
prowadzenia mikrokontrolera wy-
korzystano do sterowania wy-
úwietlaczy Nixie i†obs³ugi przy-
cisku S1.
Z†uwagi na liczbÍ dostÍpnych
portÛw uk³adu U1, a†takøe dla
przed³uøenia øycia wyúwietlaczy,
nie úwiec¹ siÍ one w†sposÛb
ci¹g³y, lecz s¹ multipleksowane
czyli naprzemiennie zapalane. Po-
niewaø dzieje siÍ to z†czÍstotli-
woúci¹ wiÍksz¹ niø 50 Hz, oko
ludzkie odnosi wraøenie, øe obie
lampki úwiec¹ siÍ ca³y czas. Za
obs³ugÍ multipleksu odpowiada
linia P3.4, ktÛrej stan co 0,5 ms
zmienia siÍ na przeciwny. Uk³ad
zbudowany z†tranzystorÛw
T12...T16 formuje dwa sygna³y
w†przeciwfazie, steruj¹ce anodami
dwÛch lamp naprzemiennie za³¹-
czane jest napiÍcie anodowe na
jedn¹ z lamp. Tranzystory T1...T11
pe³ni¹ rolÍ interfejsÛw pomiÍdzy
portami mikrokontrolera, a†stero-
wanymi 10 wyprowadzeniami ka-
tod-cyfr i†kropki - do³¹czaj¹ te
elektrody do masy. Dla przyk³adu:
jeøeli bÍd¹ przewodziÊ tranzysto-
ry T12 i†T4 zapalona zostanie
cyfra 3 lampy L1.
Uk³ad zegara potrzebuje dwÛch
napiÍÊ zasilaj¹cych: +5 V†dla
mikrokontrolera i†wysokiego na-
piÍcia potrzebnego do zaúwiece-
nia lamp Nixie. NapiÍÊ dostar-
czaj¹ dwa transformatory TR1
i†TR2 po³¹czone kaskadowo. Prob-
lem podwÛjnego zasilania moøna
by³o jeszcze rozwi¹zaÊ na dwa
co najmniej sposoby: zamiast dru-
giego transformatora moøna zasto-
sowaÊ przetwornicÍ lub zasilaÊ
lampy bezpoúrednio z†sieci po-
przez oporniki ograniczaj¹ce
pr¹d. Pierwszy sposÛb jest dobry,
ale ci¹gle cena przetwornic z
napiÍÊ bezpiecznych 5/12†V na
napiÍcie 150/200†V jest duøo wy-
øsza niø cena ma³ego transforma-
tora. Drugiego sposobu nie pole-
cam, poniewaø pojawia siÍ wtedy
groüba poraøenia uøytkownika
pr¹dem. M³odsi elektronicy maj¹
zwyczaj bagatelizowaÊ to niebez-
pieczeÒstwo, jednak kaødy, kto
przeøy³ takie wydarzenie wie ja-
kie niesie ze sob¹ nieprzyjemne
doznania i†groüne skutki. Stosu-
j¹c transformator separuj¹cy uni-
kamy nieúwiadomego zamkniÍcia
poprzez w³asne cia³o obwodu
pomiÍdzy zasilaniem z†sieci
a†uziemieniem (kaloryfery, uzie-
mione obudowy urz¹dzeÒ itp.).
Transformator TR1 dostarcza
wiÍc napiÍcia zmiennego, z†ktÛre-
go poprzez mostek B2 i†stabiliza-
tor U3 wytwarzane jest napiÍcie
+5 V. Uzwojenia wtÛrne obydwu
transformatorÛw s¹ ze sob¹ po³¹-
czone dziÍki czemu na uzwojeniu
(normalnie pierwotnym) transfor-
Obs³uga zegara
Poniewaø s¹ tylko 2†lampki,
a†trzeba wyúwietliÊ 4†cyfry, infor-
macje o†aktualnej godzinie i†mi-
nucie pojawiaj¹ siÍ kolejno w†od-
powiedniej sekwencji. Najpierw
na ok. 2†s†zapalaj¹ siÍ cyfry go-
dzin, dla ³atwiejszej orientacji
pali siÍ takøe kropka dziesiÍtna
przy cyfrze jednostek godzin. Na-
stÍpnie cyfry godzin zostaj¹ wy-
gaszone i†po 0,3 s†pojawiaj¹ siÍ
cyfry minut tym razem bez krop-
ki dziesiÍtnej. Po 2†s†cyfry minut
zostaj¹ ³agodnie wygaszone, co
sygnalizuje zakoÒczenie sekwen-
cji wyúwietlania czasu. Po sekun-
dzie ca³y proces wraz z†odczytem
aktualnego czasu z†zegara RTC
zostaje powtÛrzony w†kolejnym
cyklu.
Ustawienie b¹dü skorygowa-
nie bieø¹cego czasu jest bardzo
proste, chociaø wykorzystywany
16
Elektronika Praktyczna 8/2003
32628222.018.png 32628222.019.png 32628222.020.png 32628222.021.png 32628222.022.png 32628222.023.png 32628222.024.png 32628222.025.png 32628222.026.png 32628222.027.png 32628222.028.png 32628222.029.png 32628222.030.png 32628222.031.png 32628222.032.png 32628222.033.png 32628222.034.png 32628222.035.png 32628222.036.png 32628222.037.png 32628222.038.png 32628222.039.png 32628222.040.png 32628222.041.png 32628222.042.png 32628222.043.png 32628222.044.png 32628222.045.png 32628222.046.png 32628222.047.png
Zegar Nixie dla oszczędnych
WYKAZ ELEMENTÓW
Rezystory
R1...R10, R15, R16, R19, R22: 10k
SMD 1206
R12, R14, R17: 2,2k
SMD1206
R13, R18: 220k
SMD 1206
Kondensatory
CE1: 0,22F/5,5V
CE2: 220
F/16V
C1, C2: 18pF SMD 1206
C3: 680nF/350
C5: 100nF SMD 1206
C13: 6/40pF
Półprzewodniki
D1: dioda Schottky’ego (np.
BAT85)
T1...T11, T13, T14, T16: MPSA42
w obudowach SMD
T12, T15: MPSA92 w obudowach
SMD
U1: 89C2051
U2: MCP101
U3: 78L05
U4: 8583
Różne
X1: 3,579MHz
X2: 32,768kHz
B1, B2: mostki prostownicze 250V/0,5A
JP3: ARK2
Lampy Nixie np. LC−531 lub
podobne (2 szt.)
S1: przycisk astabilny
TR1, TR2: transformatory TEZ 0,5D/6V
µ
Rys. 3. Schemat montażowy płytki sterownika
jest do tego tylko 1†przycisk
SW1. Jeøeli w†czasie normalnej
pracy zegara przycisk bÍdzie na-
ciskany nieprzerwanie przez
2†sekundy, zegar przechodzi do
trybu ustawiania. Na obu lam-
pkach bez przerwy bÍdzie wy-
úwietlana aktualna godzina. KrÛt-
kie naciúniÍcia przycisku spowo-
duj¹ doliczenie kolejnej godziny
aø do 23, nastÍpnie jest wy-
úwietlana oczywiúcie godzina 00.
NaciúniÍcie przycisku d³uøej niø
2†s†powoduje przejúcie do usta-
wiania minut, w†sposÛb iden-
tyczny jak opisano wyøej. Kolej-
ne d³ugie naciúniÍcie przycisku
koÒczy ustawianie zegara i†spra-
wia, øe wraca do trybu normal-
nej pracy.
roku, czyli w†minionym wieku.
Moøna w†ich miejsce uøyÊ dowol-
nych innych. Trzeba tylko zasto-
sowaÊ przejúciÛwkÍ pomiÍdzy ot-
worami dla zdobytych lamp, a†co-
ko³ami dostosowanymi do typu
lamp uøytych w†projekcie. Innym
wyjúciem jest zrobienie nowej
p³ytki drukowanej z†zachowaniem
rozstawu i†kolejnoúci stykÛw
gniazda JP1 ³¹cz¹cego p³ytki lamp
i†mikrokontrolera. Lampy LC-531
mia³y 5†milimetrowej d³ugoúci wy-
prowadzenia, ktÛre z†pewnym tru-
dem i†z pomoc¹ kalafonii moøna
lutowaÊ. Uzna³em jednak, øe ³ad-
niej i†wygodniej bÍdzie je umieú-
ciÊ w†podstawkach. Podstawki do
lamp jeszcze trudniej zdobyÊ niø
same lampy wiÍc swoje wykona-
³em wykorzystuj¹c gniazda precy-
zyjne do uk³adÛw†scalonych. Trze-
ba je poci¹Ê na oddzielne piny,
øeby da³y siÍ zamontowaÊ na
obwodzie ko³a odpowiadaj¹cemu
úrednicy coko³u lampy. Jest z†tym
sporo pracy, ale potem lampÍ bez
Montaø zegara
Przyznam, øe pomys³ skon-
struowania takiego zegara, podpo-
wiedziany przez redaktora naczel-
nego, pocz¹tkowo nie wzbudzi³
mojego entuzjazmu - kolejny ze-
gar! Jednak ìzabytkoweî lampki
maj¹ swÛj urok i†mog¹ zabawnie
prezentowaÊ siÍ we wspÛ³czes-
nych wnÍtrzach, wiÍc pewnie wie-
lu CzytelnikÛw rozwaøy pomys³
budowy takiego czasomierza. Naj-
wiÍkszy problem moøe byÊ z†ja-
rzeniowymi wskaünikami cyfro-
wymi. Zapobiegliwi mog¹ je od-
naleüÊ w†swoich szufladach. Nie-
kiedy moøna takie elementy kupiÊ
na wyprzedaøach, pojawiaj¹ siÍ
takøe na aukcjach internetowych,
np. www.allegro.pl . Ja uøy³em lam-
pek LC-531 wyprodukowanych
przez Dolnoúl¹skie Zak³ady Elek-
tronowe UNITRA-Dolam w†1982
Rys. 4. Schemat montażowy płytki wyświetlacza
Elektronika Praktyczna 8/2003
17
SMD1206
R11: 4,7k
R20: 47k
32628222.048.png
Zegar Nixie dla oszczędnych
wiÍkszego trudu moøna w†razie
potrzeby wyj¹Ê i†powtÛrnie za-
montowaÊ.
Na p³ytce sterownika (schemat
montaøowy pokazano na rys. 3 )
najwiÍcej trudnoúci sprawia przy-
lutowanie niewielkich tranzysto-
rÛw przystosowanych do monta-
øu powierzchniowego i†kilkunas-
tu opornikÛw SMD w†obudowach
typu 1206. Procesor i†uk³ad ze-
gara najlepiej umieúciÊ w pod-
stawkach. Opornik ograniczaj¹cy
pr¹d katodowy R20 (do maksy-
malnie 1,5...2 mA) jako jedyny
jest rezystorem przewlekanym.
Opornik R21 typu SMD s³uøy do
dodatkowego ograniczenia pr¹du
kropki, ktÛry moøe maksymalnie
osi¹gn¹Ê wartoúÊ 0,5 mA. Ogra-
niczenie pr¹dÛw do wartoúci za-
lecanych przez producenta jest
konieczne, jeúli nie chce siÍ
doprowadziÊ do drastycznego
skrÛcenia czasu øycia lampy.
Z†uwagi na to, øe w†opisywanym
zegarze nie úwiec¹ one w†sposÛb
ci¹g³y, ich trwa³oúÊ powinna zo-
staÊ wyd³uøona.
Zegar wyposaøony zosta³
w†obwÛd podtrzymania zasilania
uk³adu RTC na wypadek zaniku
napiÍcia zasilania. ObwÛd ten
sk³ada siÍ z†diody D1 i†konden-
satora CE1 o†duøej pojemnoúci
0,1...0,22F. Poniewaø U4 bardzo
oszczÍdnie zuøywa energiÍ w†try-
bie normalnej pracy, czas bÍdzie
normalnie zliczany nawet w†ci¹-
gu kilkugodzinnego zaniku na-
piÍcia sieciowego - oczywiúcie
bez zasilania zegar niczego nie
wyúwietli.
Po wlutowaniu (jako ostat-
nich) transformatorÛw moøna
przyst¹piÊ do uruchamiania zega-
ra. Ca³a praca sprowadza siÍ do
sprawdzenia jeszcze raz popra-
wnoúci montaøu i†poziomu na-
piÍÊ: +5V i†napiÍcia dla lamp
Nixie. Po w³oøeniu do podstawek
uk³adÛw scalonych i†po³¹czeniu
ze sob¹ obydwu p³ytek (z³¹cza
p³ytek powinny zostaÊ po³¹czone
tak, aby styk 1†p³ytki lamp ³¹czy³
siÍ ze stykiem 1†p³ytki mikrokon-
trolera itd.) zegar powinien za-
dzia³aÊ, tzn. prze³¹czaÊ siÍ po-
miÍdzy wskazaniami godzin i†mi-
nut. Brak wyúwietlania lub sta³e
úwiecenie ktÛregoú segmentu mo-
øe oznaczaÊ nieprawid³owe zero-
wanie procesora (sprawdziÊ U2),
k³opoty z†procesorem lub pomy³-
kÍ w†po³¹czeniu ze sob¹ obydwu
p³ytek.
Zegar wymaga jeszcze obudo-
wy, najlepiej takiej, aby baÒki
lamp by³y dobrze widoczne. To
jednak pozostawiam inwencji
i†wyczuciu estetycznemu Czytel-
nikÛw, ktÛrzy zechc¹ sobie zmon-
towaÊ ma³y, lampowy zegar.
Ryszard Szymaniak, AVT
ryszard.szymaniak@ep.com.pl
Wzory p³ytek drukowanych w for-
macie PDF s¹ dostÍpne w Internecie
pod adresem: http://www.ep.com.pl/
?pdf/sierpien03.htm oraz na p³ycie
CD-EP8/2003B w katalogu PCB .
18
Elektronika Praktyczna 8/2003
32628222.049.png
Zgłoś jeśli naruszono regulamin