Pierwsze kroki w cyfrówce cz2.pdf

(317 KB) Pobierz
1266121 UNPDF
Układy cyfrowe
W poprzednim odcinku
przedstawiłem ci szerokie tło
zagadnienia. Dowiedziałeś się, że
cała technika cyfrowa
w rzeczywistości opiera się na kilku
podstawowych cegiełkach, zwanych
bramkami. Co ważne, mogłeś sobie
uświadomić, że technika cyfrowa
nie jest nierozłącznie związana
z układami scalonymi − układy
logiczne i cyfrowe mogą być
realizowane z użyciem na przykład
elementów hydraulicznych
i pneumatycznych.
W dzisiejszym odcinku nie
zaspokoję jeszcze twoich gorących
oczekiwań − nie podam ci jeszcze
opisu poszczególnych układów
scalonych.
Przedstawię ci za to bardzo ważne
zagadnienia dotyczące bramek. Jeśli
nawet znasz już trochę technikę
cyfrową, nie lekceważ podanych
wskazówek.
Jeśli zaczynasz od początku,
przeanalizuj podany materiał
kilkakrotnie, aby dobrze ci się
utrwalił.
w cyfrówce
część 2
część 2
Może w pierwszej chwili podane in−
formacje wydadzą ci się niezgodne
z tym, czego nauczyłeś się z książek. Tak
jednak nie jest − żadnej sprzeczności tu
nie ma, ja chcę ci tylko pokazać i zapro−
ponować intuicyjne podejście do ukła−
dów logicznych. Takie podejście pozwoli
ci bez trudu projektować prostsze ukła−
dy. Zaryzykuję twierdzenie, że “szkolne”
podejście, oparte na algebrze Boole’a
i licznych wzorach, jest coraz mniej po−
trzebne w praktyce − skomplikowane
układy logiczne realizuje się obecnie
z użyciem mikroprocesorów i układów
programowalnych PLD, i czarną robotę
wykonuje wtedy komputer, a nie czło−
wiek.
Natomiast prostszych układów scalo−
nych, zawierających bramki, nie wolno
lekceważyć, bowiem długo jeszcze będą
stosowane w urządzeniach elektronicz−
nych.
Przypomnij sobie...
W układach logicznych i cyfrowych
mamy do czynienia z dwoma podstawo−
wymi stanami: jest/nie ma, prawda/fałsz,
lub inaczej wysoki/niski. Stany te ozna−
czane są często 1, 0 albo T, F (True −
prawda, False − fałsz).
Nas interesować będą urządzenia
elektryczne, w szczególności układy sca−
lone. Układy takie zasilane są napięciem
w granicach 2...20V. Ujemny biegun za−
silania jest masą i względem tej masy
mierzymy napięcia. Umawiamy się, że
obecność napięcia na wejściu lub wy−
jściu będziemy nazywać stanem wyso−
kim − będziemy go oznaczać literą
H (ang. High − wysoki). Brak napięcia to
stan niski − oznaczymy go zgodnie z po−
daną konwencją, literą L (Low − niski).
Przyzwyczaj się do oznaczania stanów
logicznych literami L i H. Jest to prak−
tyczne, ponieważ w przyszłości unik−
niesz wielu pomyłek. Nie przyzwyczajaj
się do oznaczeń 1, 0, bowiem jedynka lo−
giczna może ci się potem mylić z liczbą
1 lub dużą literą I, a zero logiczne co jakiś
czas pomyli się z dużą literą O (a pamię−
taj, że litery I, O są używane do opisywa−
nia wejść i wyjść układów cyfrowych).
Dlatego zachęcam cię do używania
sformułowania typu: “na wyjściu bramki
U1A występuje stan wysoki” (lub krótko
stan H), zamiast: “na wyjściu bramki
U1A występuje jedynka”. Naprawdę za−
oszczędzi to pomyłek i nieporozumień.
Mamy trzy podstawowe bramki: NOT,
AND i OR. Są to powszechnie używane
określenia angielskie oznaczające po pol−
sku: NIE, I, LUB. W ramach niniejszego
cyklu będziemy używać terminów angiel−
skich zrozumiałych na całym świecie. Ca−
ła źródłowa literatura elektroniczna uka−
zuje się po angielsku i chyba nie ma
większego sensu trzymać się lokalnych
nazw i określeń.
Zapewnie w mądrych książkach
o technice cyfrowej spotkałeś tak zwane
tabele prawdy. Nie próbuj uczyć się tych
tablic na pamięć, tylko spróbuj zrozumieć
zasady. Wprawdzie tabele te rzetelnie
opisują zachowanie układów logicznych,
ale ja na początek zalecałbym ci raczej
podejście intuicyjne. Poczuj więc cyfro−
wego bluesa.
A jeśli chcesz, to w przyszłości ko−
rzystając z książek, zajmiesz się tablica−
mi prawdy i algebrą Boole’a. Niekiedy
bywa to pomocne przy realizacji bardziej
skomplikowanych układów.
NOT, AND, OR
W naszych rozważaniach przyjmuje−
my, że stan wysoki to napięcie równe lub
bliskie dodatniemu napięciu zasilające−
mu, natomiast stan niski to napięcie
równe lub bliskie potencjałowi masy. Nie
rozpatrujemy żadnych stanów pośred−
nich − interesuje nas tylko czy jest napię−
cie, czy go nie ma.
Najprostsza bramka NOT, zwana też
negatorem lub inwerterem, realizuje ne−
gację − stan na wyjściu jest przeciwny niż
stan na wejściu. Jeśli na wejście poda−
my stan wysoki, na wyjściu pojawi się
stan niski, i na odwrót.
Rysunek 1
Rysunek 1 pokazuje przykładowe spo−
soby realizacji bramki NOT oraz po−
wszechnie używany symbol tej bramki.
Przy okazji, na rysunku 1 podałem
symbol bufora − jest to element, który po
prostu powtarza na wyjściu stan z we−
Rys. 1. Bramka NOT − inwerter.
52
E LEKTRONIKA DLA WSZYSTKICH 2/97
Pierwsze kroki
Rysunek 1
1266121.051.png
Układy cyfrowe
Rys. 2.
Bramka AND.
jścia. Może się zdziwisz i zapytasz: po
co? Przekonasz się, że wbrew pozorom
jest to bardzo przydatny element.
Bramka AND realizuje tzw. iloczyn lo−
giczny − stan na wyjściu bramki AND
zmienia się na wysoki dopiero wtedy,
gdy na wszystkie wejścia bramki podany
jest stan wysoki.. .. .
Na rysunku 2
Rys. 4. Bramka NAND
Rys. 5. Bramka NOR
rys. 4). Kółeczko na
wyjściu świadczy, że mamy do czynienia
z negacją. W praktyce bramkę NAND
można zrealizować równie prosto lub na−
wet prościej, niż bramkę AND − porównaj
rysunki 2 i 4. To samo dotyczy bramki
NOR ( rysunek 5
rys. 4
jściach jest stan niski.
Stan wyjścia zmienia się po spełnie−
niu warunku:
− dla bramek AND i NAND na wszyst−
kich wejściach musi być stan wysoki,
− dla bramek OR i NOR przynajmniej na
jednym wejściu musi być stan wysoki.
Do tej pory rysowałem ci bramki dwu−
wejściowe. W praktyce takich bramek
używa się najczęściej. Ale istnieją też (w
postaci układów scalonych) bramki wie−
lowejściowe − kilka z nich zobaczysz na
rysunku 6
rysunku 2 możesz zobaczyć kilka
przykładów realizacji bramki AND oraz
najczęściej używany symbol tej bramki.
Bramka OR realizuje funkcję tak zwa−
nej sumy logicznej − wystarczy podać
stan wysoki na przynajmniej jedno we−
jście bramki OR, a stan na jej wyjściu
zmieni się na wysoki.
Rysunek 3 
rysunek 5).
Z rysunku 4 możesz się dowiedzieć,
jak pracuje bramka NAND: stan wyjścia
zmienia się z wysokiego na niski tylko
wtedy, gdy na wszystkich wejściach jest
stan wysoki. W bramce NOR stan wy−
jścia zmienia się z wysokiego na niski,
gdy na co najmniej jednym wejściu wy−
stępuje stan wysoki.
Zauważ, że mówiliśmy tu jakby o sta−
nie spoczynku na wyjściu. Możemy to
tak rozumieć. W bramkach AND i OR ta−
kim stanem spoczynku na wyjściu jest
stan logiczny niski, a w bramkach NAND
i NOR − stan wysoki. Milcząco zakłada−
my też, że stanem spoczynku na we−
rysunek 5
rysunku 6.
A do czego potrzebne będą ci bramki?
Przejrzyj kilka numerów EdW, a zoba−
czysz, jak wykorzystuje się bramki
w praktyce. W jednym z następnych od−
cinków podam ci garść wskazówek na
temat praktycznego wykorzystania bra−
mek.
Na razie widzisz, że za pomocą bramek
OR, NOR możesz sprawdzać, czy na
wszystkich wejściach występuje stan
niski. Natomiast bramki AND i NAND
poinformują cię, czy na wszystkich we−
jściach występuje stan wysoki.
Rysunek 3 pokazuje kilka realizacji
bramek OR.
W praktyce pojedynczych bramek
AND i OR używa się rzadko, można na−
wet powiedzieć bardzo rzadko. W więk−
szości układów budowanych z bramek
stosuje się bramki NAND i NOR.
Rys. 3.
Bramka OR.
Rys. 6. Bramki wielowejściowe.
E LEKTRONIKA DLA WSZYSTKICH 2/97
53
Najpopularniejsze
cegiełki: NAND i NOR
Bramka NAND to jakby połączenie
bramek AND i NOT (rys. 4
rysunku 2
rysunek 5
rysunku 6
rysunku 6
Rysunek 3 
1266121.056.png 1266121.057.png 1266121.058.png 1266121.001.png 1266121.002.png 1266121.003.png 1266121.004.png 1266121.005.png 1266121.006.png 1266121.007.png 1266121.008.png 1266121.009.png 1266121.010.png 1266121.011.png 1266121.012.png 1266121.013.png 1266121.014.png 1266121.015.png 1266121.016.png 1266121.017.png 1266121.018.png 1266121.019.png 1266121.020.png
Układy cyfrowe
Rys. 7. Bramka jako sterowany zawór.
Rys. 8. Wykorzystanie bramek w roli zaworu.
Ale to za mało. Proponuję ci wyrobie−
nie sobie nawyków myślowych opartych
na wyobrażeniu bramki jako elementu,
który coś przepuszcza lub nie przepusz−
cza.
Bramka jako... bramka
Nazwa “bramka” kojarzy się z bramą,
drzwiami, wrotami, czyli w sumie z za−
mykaniem i otwieraniem.
I rzeczywiście, klasyczna bramka ma
wiele wspólnego z otwieraniem i zamy−
kaniem. Prawdziwa bramka to urządze−
nie, które albo przepuszcza sygnał, albo
go nie przepuszcza. Niejako samorzutnie
nasuwałby się tu wniosek, że bramka
powinna mieć wejście sygnału, wyjście
sygnału i jakieś wejście sterujące.
Rzeczywiście, w przypadku elementów
OR, NOR, AND i NAND, wyobrażenie
o bramce jako elemencie zamykającym
i otwierającym drogę sygnału jest jak
najbardziej słuszne.
Jeśli jedno z wejść wspomnianych
bramek potraktujemy jako wejście syg−
nału (oczywiście logicznego), to pozosta−
łe wejścia możemy traktować jako we−
jścia sterujące. Ideę zobaczysz na rys. 7
rysunku 8 i podanych
wcześniej informacji zastanów się, czy
rzeczywiście tak jest. Takie rozumienie
bramki będzie ci wręcz niezbędne, jeśli
będziesz projektował układy, kierując się
intuicją.
Doszliśmy tu do ważnego punktu na−
szych rozważań. Może zapytasz, po co
aż cztery różne bramki? Czy nie wystar−
czyłaby jedna?
To jest dobre pytanie. Przypuszczam,
że w pełni docenisz sprawę dopiero wte−
dy, gdy natkniesz się na kłopot: w jakimś
układzie liczącym licznik będzie zliczał
o jeden impuls za dużo lub za mało. Na
razie dokładnie przeanalizuj rysunek 9
rysunku 8
W takiej roli bramki stosowane są na
przykład w częstościomierzach, gdzie
wzorcowy impuls otwiera bramkę na
ściśle określony czas i umożliwia zlicza−
nie impulsów podanych na wejście.
W zależności od zastosowanego liczni−
ka, trzeba wykorzystać właściwą bramkę
− do tej sprawy wrócimy jeszcze przy
omawianiu liczników.
Oczywiście powyższe informacje
o bramkowaniu nie dotyczą bramki NOT:
mówimy o bramce NOT, a przecież nie
ma tu mowy o żadnym bramkowaniu,
tylko o zmianie sygnału logicznego na
przeciwny. Ściśle biorąc, nie powinniś−
my więc mówić “bramka NOT”, obecnie
jednak często używa się pojęcia bramki
w szerszym znaczeniu, i nie zdziw się,
jeśli w literaturze spotkasz takie określe−
nie. Zauważ, że w podręcznikach stosuje
się zazwyczaj określenie “element
NOT” lub “funktor NOT”. My, praktycy,
zazwyczaj zamiast “bramka NOT” mówi−
my krótko “negator” lub “inwerter”.
Piotr Górecki
rysunek 9
i zauważ czym w poszczególnych bram−
kach różni się sygnał na wyjściu, gdy
bramka jest zamknięta, i gdy przepusz−
cza sygnał. Zwróć szczególną uwagę, co
się dzieje na wyjściach w momentach
zmiany stanu na wejściu sterującym.
rysunek 9
Piotr Górecki
rys. 7.
Rys. 9. Różnice
w działaniu bramek.
54
E LEKTRONIKA DLA WSZYSTKICH 2/97
Bramka AND czy NAND zostanie ot−
warta tylko wtedy, jeśli na wszystkich
wejściach sterujących będzie stan wyso−
ki. Analogicznie, bramka OR czy NOR bę−
dzie otwarta, gdy na wszystkich we−
jściach sterujących jest stan niski.
Korzystając z rysunku 8
Piotr Górecki
rys. 7
1266121.021.png 1266121.022.png 1266121.023.png 1266121.024.png 1266121.025.png 1266121.026.png 1266121.027.png 1266121.028.png 1266121.029.png 1266121.030.png
Układy cyfrowe
Inne bramki
Istnieją też elementy logiczne, realizu−
jące jeszcze inne funkcje. Zapewne spo−
tkałeś już określenie EX−OR i EX−NOR.
Elementy takie również nazywamy
bramkami. W literaturze niekiedy są
oznaczane jako bramki XOR lub XNOR.
Elementów tych z pewnością będziesz
używał w swoich układach.
Na pewno chciałbyś intuicyjnie zrozu−
mieć ich działanie, a jest ono bardzo
proste.
Spróbuj zapamiętać: na wyjściu dwu−
wejściowej bramki EX−OR pojawia się
stan wysoki, gdy na wejściach występu−
ją różne stany logiczne. Natomiast gdy
oba wejścia mają ten sam stan logiczny
(obojętnie czy wysoki, czy niski), na wy−
jściu występuje stan niski.
Bramka EX−NOR działa tylko trochę
inaczej − gdy stan wejść jest jednakowy,
na wyjściu pojawia się stan wysoki, gdy
stany są różne − stan niski.
Jest to rzeczywiście proste. Na rysun−
rysunku 13, w pierwszej kolumnie
znajdziesz oznaczenia według dotych−
czas obowiązujących norm krajowych,
w drugiej kolumnie nowe oznaczenia,
zgodne z zaleceniami międzynarodowej
organizacji ISO, które są obecnie wpro−
wadzane w wielu krajach, także u nas.
Przyzwyczajaj się powoli do tych no−
wych symboli. W trzeciej kolumnie zna−
jdziesz dawne oznaczenia, spotykane
w starszej literaturze.
Logika ujemna
Popatrz teraz na układ pokazany na ry−
rysun−
ku 10 znajdziesz symbole i opis działania
bramek EX−OR i EX−NOR.
Na rysunku 11
ry−
sunku 14. Układ taki może być zastoso−
wany w małej centralce alarmowej. Do
czterech wejść oznaczonych 1...4 dołą−
czone są czujniki. Wejście Z służy do cał−
kowitego wyłączania centralki. Nato−
miast wejścia X, Y umożliwiają włącza−
nie i wyłączanie pewnych stref (na przy−
kład garaż powinien być chroniony w no−
cy także podczas obecności domowni−
ków). Naruszenie (zwarcie) któregokol−
wiek czujnika wywoła alarm, o ile tylko
na wejściach zezwalających, oznaczo−
nych X, Y, Z, będzie występował stan
wysoki. W stanie gotowości (czuwania),
w poszczególnych punktach układu wy−
stąpią stany logiczne, takie jak podano
na rysunku.
Zauważ, że jeśli naruszony zostanie
przynajmniej jeden czujnik, zmieni się
stan na wyjściu którejś z bramek ozna−
czonych A, B. Coś tu jakby nie gra: choć
są to niewątpliwie bramki NAND,
w rzeczywistości realizują funkcję OR
lub NOR! Następne bramki, oznaczone
C i D rzeczywiście realizują funkcję
NAND − stan wyjścia zmienia się, gdy na
wszystkich wejściach pojawi się stan
wysoki. Ale bramka oznaczona E znów
pełni jakby funkcję OR czy NOR − poja−
wienie się stanu niskiego na przynaj−
mniej jednym jej wejściu zmienia stan
rysunku 11 zobaczysz, jak wyko−
nać bramkę EX−OR z bramek NAND.
W praktyce nigdy się tak nie robi, bo−
wiem produkowane są układy scalone
zawierające po cztery bramki EX−OR albo
EX−NOR w jednym układzie scalonym.
Do czego wykorzystasz w praktyce
bramki EX−OR i EX−NOR?
Najczęściej do sprawdzenia, czy dane
sygnały mają takie same poziomy logicz−
ne. Ale nie tylko.
Na rysunku 12
rysunku 11
rysunku 12 zobaczysz inną możli−
wość, przydatną w praktyce: w zależ−
ności od stanu na jednym z wejść, bram−
ka EX−OR (lub EX−NOR) neguje sygnał
wejściowy, albo przepuszcza go bez
zmian. Zapamiętaj właściwość pokazaną
na rysunku 12 − przyda ci się, gdy w trak−
cie projektowania zagospodarujesz
bramki EX−OR i EX−NOR nie wykorzysta−
ne w swej klasycznej roli.
Może zapytasz jeszcze, czy istnieją
wielowejściowe bramki EX−OR i EX−
NOR? W praktyce spotkasz się tylko
z bramkami dwuwejściwymi. Bramki te
można w prosty sposób łączyć, by uzys−
kać coś podobnego do bramki wielowe−
jściowej, ale stosuje się to bardzo rza−
dko. Istnieją też wielowejściowe układy
zwane generatorami i kontrolerami pa−
rysunku 12
Rys. 10. Bramki EX−OR i EX−NOR.
Rys. 11. Wykonanie bramki EX−OR z bramek NAND.
E LEKTRONIKA DLA WSZYSTKICH 2/97
55
rzystości, przeznaczone do systemów
przesyłania danych − ich działanie nieco
przypomina działanie opisywanych bra−
mek.
Uważaj teraz! W starej krajowej litera−
turze lub w publikacjach obcojęzycznych
spotyka się odmienne symbole bramek
(oraz innych układów logicznych). Żeby
nie robić ci wody z mózgu, na poprzed−
nich rysunkach podałem ci najczęściej
spotykane oznaczenia, występujące
w większości dostępnych dziś źródeł.
Na rysunku 13
rysunku 13
ku 10
ry−
ry−
sunku 14
rysunku 12
1266121.031.png 1266121.032.png
Układy cyfrowe
Rys. 12. Nietypowe wykorzystanie bramek EX−OR i EX−NOR.
Rys. 13. Spotykane sybmole bramek.
nia i wtedy więcej z niej szkody niż po−
żytku.
Wyjaśniam więc raz na zawsze: we
wszystkich praktycznych opisach i publi−
kacjach z jakimi się spotkasz, a przede
wszystkim w firmowych katalogach cyf−
rowych układów scalonych, stosuje się
oznaczenia i pojęcia związane z logiką
dodatnią, gdzie stan niski to napięcie
bliskie zera, a stan wysoki to napięcie
bliskie dodatniego napięcia zasilania.
W zasadzie możesz więc nie zawracać
sobie głowy logiką ujemną, ale koniecz−
nie musisz zrozumieć pewne istotne za−
gadnienie, które dało o sobie znać przy
analizie rysunku 14. Oto ono:
Zgodnie z tym, co pokazałem na ry−
sunku 14 musisz zapamiętać, że dowol−
na bramka AND, NAND, OR, NOR może
pełnić zarówno swą “przepisową” funk−
cję, jak też funkcję niejako przeciwną.
Może jesteś zaskoczony: jak to jest,
że ta sama bramka pełni funkcję AND
i jednocześnie (tak!) funkcję OR? Teore−
tyk odpowie: tu właśnie wchodzi w grę
wyjścia. Ostatnia bramka, oznaczona F,
realizuje funkcję NAND − zmienia stan
wyjścia, gdy na wszystkich wejściach
wystąpi stan wysoki. Dokładnie to przea−
nalizuj. Coś nam tu przypomina opis dzia−
łania bramki OR i NOR. Jak to rozumieć?
Do tej pory zakładaliśmy, zresztą cał−
kowicie słusznie, że brak napięcia to stan
niski − L, a obecność napięcia (dodatnie−
go) to stan wysoki − H.
Ale przecież jest to kwestia umowy:
równie dobrze moglibyśmy ustalić, że
brak napięcia to stan wysoki, a obec−
ność napięcia − stan niski. Tym sposo−
bem doszliśmy do tak zwanej logiki
ujemnej.
Jeśli zaglądałeś do podręczników
omawiających technikę cyfrową, to ist−
nieje duże prawdopodobieństwo, że ja−
kiś niepoprawny teoretyk próbował ci
namieszać w głowie, omawiając szcze−
gółowo zarówno logikę dodatnią, jak
i ujemną. Wydaje się to bardzo skompli−
kowane. Ta cała logika ujemna to praw−
da, ale praktykującemu elektronikowi
może narobić w głowie sporo zamiesza−
Rys. 14. Przykładowy układ logiczny.
56
E LEKTRONIKA DLA WSZYSTKICH 2/97
1266121.033.png 1266121.034.png 1266121.035.png 1266121.036.png 1266121.037.png 1266121.038.png 1266121.039.png 1266121.040.png 1266121.041.png 1266121.042.png 1266121.043.png 1266121.044.png 1266121.045.png 1266121.046.png 1266121.047.png 1266121.048.png 1266121.049.png 1266121.050.png 1266121.052.png 1266121.053.png 1266121.054.png 1266121.055.png
Zgłoś jeśli naruszono regulamin